aboutsummaryrefslogtreecommitdiff
path: root/arch/powerpc/platforms/512x
AgeCommit message (Expand)Author
2011-01-01of/flattree: Add of_flat_dt_match() helper functionGrant Likely
2010-09-01powerpc/512x: fix clk_get() return valueAkinobu Mita
2010-08-05Merge branch 'next-devicetree' of git://git.secretlab.ca/git/linux-2.6Linus Torvalds
2010-08-01powerpc/5121: shared DIU framebuffer supportAnatolij Gustschin
2010-08-01powerpc/512x: add clock structure for Video-IN (VIU) unitAnatolij Gustschin
2010-08-01powerpc/5121: add initial support for PDM360NG boardAnatolij Gustschin
2010-08-01powerpc/512x: Group mpc512x board's selection menuAnatolij Gustschin
2010-07-24powerpc: remove references to of_device and to_of_deviceGrant Likely
2010-05-25powerpc/mpc5121: move PSC FIFO memory init to platform codeAnatolij Gustschin
2010-02-19Merge commit 'gcl/next' into nextBenjamin Herrenschmidt
2010-02-17powerpc: Remove whitespace in irq chip name fieldsAnton Blanchard
2010-02-16powerpc/mpc5121: create and register NFC deviceAnatolij Gustschin
2010-02-16powerpc/mpc5121: Add machine restart supportAnatolij Gustschin
2010-02-16powerpc/mpc5121: avoid using arch_initcall for clock initAnatolij Gustschin
2009-11-24powerpc: Fixup last users of irq_chip->typenameThomas Gleixner
2009-10-30powerpc: Remove get_irq_desc()Michael Ellerman
2009-06-17powerpc/5121: make clock debug output more readableWolfram Sang
2009-06-17powerpc/5xxx: Add common mpc5xxx_get_bus_frequency() functionWolfgang Denk
2009-03-11powerpc/kconfig: Kill PPC_MULTIPLATFORMBenjamin Herrenschmidt
2009-01-09powerpc/mpc5121: fix NULL test in mpc5121_clk_get utility function.roel kluin
2008-10-13powerpc/5121: Add PCI support.John Rigby
2008-07-12powerpc/mpc5121: Add support for CPLD on MPC5121ADS boardJohn Rigby
2008-07-12powerpc/mpc5121: Add generic board support for MPC5121 platformsJohn Rigby
2008-07-12powerpc/mpc5121: Add clock driverJohn Rigby
2008-02-14[POWERPC] Remove unused CONFIG_WANT_DEVICE_TREEGrant Likely
2008-02-06[POWERPC] mpc512x: Basic platform supportJohn Rigby