summaryrefslogtreecommitdiff
path: root/SITE1/HBI0191B/smbmux.svf
diff options
context:
space:
mode:
Diffstat (limited to 'SITE1/HBI0191B/smbmux.svf')
-rw-r--r--SITE1/HBI0191B/smbmux.svf1216
1 files changed, 0 insertions, 1216 deletions
diff --git a/SITE1/HBI0191B/smbmux.svf b/SITE1/HBI0191B/smbmux.svf
deleted file mode 100644
index 2cdb916..0000000
--- a/SITE1/HBI0191B/smbmux.svf
+++ /dev/null
@@ -1,1216 +0,0 @@
-// Created using Xilinx iMPACT Software [ISE - 10.1.02]
-// Date: Thu Jun 25 13:54:02 2009
-
-TRST OFF;
-ENDIR IDLE;
-ENDDR IDLE;
-STATE RESET;
-STATE IDLE;
-FREQUENCY 1E6 HZ;
-// Created using Xilinx iMPACT Software [ISE - 10.1.02]
-// Date: Thu Jun 25 13:55:58 2009
-
-TRST OFF;
-ENDIR IDLE;
-ENDDR IDLE;
-STATE RESET;
-STATE IDLE;
-FREQUENCY 1E6 HZ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) SMASK (ff) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Loading devices with 'erase' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ed) SMASK (ff) ;
-ENDIR IDLE;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
-RUNTEST DRPAUSE 20 TCK;
-STATE IDLE;
-RUNTEST IDLE 100000 TCK;
-STATE DRPAUSE;
-RUNTEST DRPAUSE 5000 TCK;
-RUNTEST IDLE 1 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Programming.
-// Loading devices with 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0102f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0083c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00c2f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01c2053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0143c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0042f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0163c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01e3f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00e0f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00a3c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01a3f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0120f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0033f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01b3c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b3f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00f0f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01f3c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0053c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0152f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01d205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0092f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01920555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0012f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011bc1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00dbc1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01daf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005bc1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00fae1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0038f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002bc1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01aa03fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00abc17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00eaf9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ea017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004a057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014bc17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01caf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ca05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010e05dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ce055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016ee1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ecf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00aee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01acf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (003cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00bcf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00def9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Verification.
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (00) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (40) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (60) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (20) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (30) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (70) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (50) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (10) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (18) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (58) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (78) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (38) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (28) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (68) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (48) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (08) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (14) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe001fa001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (54) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (74) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (34) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (24) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (64) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (020555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (44) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (04) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (06) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (46) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (66) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (26) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (36) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (76) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (56) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (16) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0203fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (12) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (52) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (72) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (32) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (22) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (62) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (42) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (02) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (03) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (43) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (63) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (23) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (33) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (73) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (53) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (13) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (17) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (57) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (77) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (37) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (27) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (67) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (47) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (07) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (45) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-// masking lower UES bits.
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Setting Done bit ...
-// Loading device with a 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Done bit.
-SIR 8 TDI (ff) TDO (05) MASK (07) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-SIR 8 TDI (ff) ;
-SDR 1 TDI (00) SMASK (01) ;