summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--MB/HBI0190D/board.txt2
-rw-r--r--SITE1/HBI0191B/board.txt4
-rw-r--r--SITE1/HBI0191B/images.txt27
-rw-r--r--SITE1/HBI0191C/board.txt61
-rw-r--r--SITE1/HBI0191C/dbb_v115.ebfbin20644 -> 0 bytes
-rw-r--r--SITE1/HBI0191C/images.txt24
-rw-r--r--SITE1/HBI0191C/ispm_1v.svf233
-rw-r--r--SITE1/HBI0191C/isps_1v.svf233
-rw-r--r--SITE1/HBI0191C/smbmux.svf1216
-rw-r--r--SITE1/HBI0191C/vconvb.svf1141
-rw-r--r--SITE1/HBI0225B/images.txt25
-rw-r--r--SITE1/HBI0237A/images.txt8
-rw-r--r--SITE1/HBI0249A/board.txt42
-rw-r--r--SITE1/HBI0249A/images.txt45
-rw-r--r--SITE2/HBI0192B/AN224/a224cust.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192B/AN224/a224cust.txt21
-rw-r--r--SITE2/HBI0192B/AN224/a224r0p1.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192B/AN224/a224r0p1.txt21
-rw-r--r--SITE2/HBI0192B/AN243/a243cust.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192B/AN243/a243cust.txt21
-rw-r--r--SITE2/HBI0192B/AN243/a243r0p1.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192B/AN243/a243r0p1.txt21
-rw-r--r--SITE2/HBI0192B/AN283/a283r0p0.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192B/AN283/a283r0p0.txt21
-rw-r--r--SITE2/HBI0192B/board.txt13
-rw-r--r--SITE2/HBI0192B/dbb_v135.ebfbin27380 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN224/a224cust.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN224/a224cust.txt21
-rw-r--r--SITE2/HBI0192C/AN224/a224r0p1.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN224/a224r0p1.txt21
-rw-r--r--SITE2/HBI0192C/AN243/a243cust.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN243/a243cust.txt21
-rw-r--r--SITE2/HBI0192C/AN243/a243r0p1.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN243/a243r0p1.txt21
-rw-r--r--SITE2/HBI0192C/AN283/a283r0p0.bitbin10337122 -> 0 bytes
-rw-r--r--SITE2/HBI0192C/AN283/a283r0p0.txt21
-rw-r--r--SITE2/HBI0192C/board.txt13
-rw-r--r--SITE2/HBI0192C/dbb_v135.ebfbin27380 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/AN233/f550r0p1.bitbin18011652 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/AN233/f550r0p1.txt22
-rw-r--r--SITE2/HBI0217B/AN233/f760r0p1.bitbin23102986 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/AN233/f760r0p1.txt16
-rw-r--r--SITE2/HBI0217B/AN305/f550r0p0.bitbin18011652 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/AN305/f550r0p0.txt22
-rw-r--r--SITE2/HBI0217B/AN305/f760r0p0.bitbin23102986 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/AN305/f760r0p0.txt16
-rw-r--r--SITE2/HBI0217B/board.txt18
-rw-r--r--SITE2/HBI0217B/board_1.txt14
-rw-r--r--SITE2/HBI0217B/dbb_v115.ebfbin28132 -> 0 bytes
-rw-r--r--SITE2/HBI0217B/dbb_v215.ebfbin25604 -> 0 bytes
-rw-r--r--SITE2/HBI0232A/board.txt21
-rw-r--r--SITE2/HBI0247B/AN306/a306r0p1.bitbin55917252 -> 0 bytes
-rw-r--r--SITE2/HBI0247B/AN306/a306r0p1.txt26
-rw-r--r--SITE2/HBI0247B/AN307/a307r1p1.bitbin55917252 -> 0 bytes
-rw-r--r--SITE2/HBI0247B/AN307/a307r1p1.txt26
-rw-r--r--SITE2/HBI0247B/board.txt13
-rw-r--r--SITE2/HBI0247B/board_1.txt11
-rw-r--r--SITE2/HBI0247B/dbb_v110.ebfbin32356 -> 0 bytes
-rw-r--r--SITE2/HBI0247C/AN306/a306r1p0.bitbin55917252 -> 0 bytes
-rw-r--r--SITE2/HBI0247C/AN306/a306r1p0.txt26
-rw-r--r--SITE2/HBI0247C/AN307/a307r2p0.bitbin55917252 -> 0 bytes
-rw-r--r--SITE2/HBI0247C/AN307/a307r2p0.txt26
-rw-r--r--SITE2/HBI0247C/board.txt13
-rw-r--r--SITE2/HBI0247C/board_1.txt11
-rw-r--r--SITE2/HBI0247C/dbb_v110.ebfbin32356 -> 0 bytes
-rw-r--r--SOFTWARE/A5/uefi.binbin0 -> 2621440 bytes
-rw-r--r--SOFTWARE/A9/uefi.binbin0 -> 2621440 bytes
-rw-r--r--SOFTWARE/TC1/uefi.binbin0 -> 2621440 bytes
-rw-r--r--SOFTWARE/TC2/bootscr.txt5
-rw-r--r--SOFTWARE/TC2/dtb.bin0
-rw-r--r--SOFTWARE/TC2/initrd.bin0
-rw-r--r--SOFTWARE/TC2/uefi.binbin0 -> 860160 bytes
-rw-r--r--SOFTWARE/TC2/zimage.bin0
-rw-r--r--SOFTWARE/bm_v521l.axfbin192332 -> 0 bytes
-rw-r--r--SOFTWARE/booscr15.txt2
-rw-r--r--SOFTWARE/booscr5s.txt2
-rw-r--r--SOFTWARE/booscr9.txt2
-rw-r--r--SOFTWARE/ca15a7.dtbbin14307 -> 0 bytes
-rw-r--r--SOFTWARE/ca5s.dtbbin10802 -> 0 bytes
-rw-r--r--SOFTWARE/ca9.dtbbin12940 -> 0 bytes
-rw-r--r--SOFTWARE/kernel.binbin3908632 -> 0 bytes
81 files changed, 71 insertions, 3518 deletions
diff --git a/MB/HBI0190D/board.txt b/MB/HBI0190D/board.txt
index 9eb29db..bae71e2 100644
--- a/MB/HBI0190D/board.txt
+++ b/MB/HBI0190D/board.txt
@@ -2,7 +2,7 @@ BOARD: HBI0190
TITLE: MotherBoard Configuration File
[MCCS]
-MBBIOS: mbb_v312.ebf ;MB BIOS IMAGE
+MBBIOS: mbb_v312.ebf ;MB BIOS IMAGE
[FPGAS]
MBIOFPGA: io_b108.bit ;REQUIRED TO ALLOW UPDATE FROM VECD v1.x
diff --git a/SITE1/HBI0191B/board.txt b/SITE1/HBI0191B/board.txt
index e5e5df2..f58c355 100644
--- a/SITE1/HBI0191B/board.txt
+++ b/SITE1/HBI0191B/board.txt
@@ -5,7 +5,7 @@ TITLE: V2P-CA9 Configuration File
[DCCS]
TOTALDCCS: 1 ;(1) Total Number of DCCS - Do not change this value
-M0FILE: dbb_v115.ebf ;DCC0 Filename
+M0FILE: dbb_v115.ebf ;DCC0 Filename
M0MODE: MICRO ;DCC0 Programming Mode
[FPGAS]
@@ -43,7 +43,7 @@ OSC2: 66.67 ;OSC2 Frequency in MHz (TCREFCLK)
[SCC REGISTERS]
TOTALSCCS: 3 ;Total Number of SCC registers defined
SCC: 0x000 0xBB8A802A ;CFGRW0 Power up settings - MCLK, AXICLKs, FCLK PLL configuration
-SCC: 0x004 0x00001F09 ;CFGRW1 Power up settings - Remap bits, A9 static signals, MCLK PLL
+SCC: 0x004 0x10001F09 ;CFGRW1 Power up settings - Remap bits, A9 static signals, MCLK PLL
SCC: 0x008 0x00000000 ;CFGRW2 Power up settings - Misc, A9 static signals
diff --git a/SITE1/HBI0191B/images.txt b/SITE1/HBI0191B/images.txt
index be0faaa..7072499 100644
--- a/SITE1/HBI0191B/images.txt
+++ b/SITE1/HBI0191B/images.txt
@@ -1,24 +1,9 @@
TITLE: Versatile Express Images Configuration File
[IMAGES]
-TOTALIMAGES: 4 ;Number of Images (Max : 32)
-NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
-NOR0ADDRESS: BOOT ;Image Flash Address
-NOR0FILE: \SOFTWARE\bm_v521l.axf ;Image File Name
-
-NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR1ADDRESS: 40000000 ;Image Flash Address
-NOR1NAME: BOOTSCRIPT ;Image Name
-NOR1FILE: \SOFTWARE\booscr9.txt ;Image File Name
-
-NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR2ADDRESS: 40000000 ;Image Flash Address
-NOR2FILE: \SOFTWARE\ca9.dtb ;Image File Name
-NOR2LOAD: 68000000 ;Image Load Address
-NOR2ENTRY: 00000000 ;Image Entry Point
-
-NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR3ADDRESS: 41000000 ;Image Flash Address
-NOR3FILE: \SOFTWARE\kernel.bin ;Image File Name
-NOR3LOAD: 60008000 ;Image Load Address
-NOR3ENTRY: 60008000 ;Image Entry Point
+TOTALIMAGES: 1 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR0ADDRESS: 44000000 ;Image Flash Address
+NOR0FILE: \SOFTWARE\A9\uefi.bin ;Image File Name
+NOR0LOAD: 44000000 ;Image Load Address
+NOR0ENTRY: 44000000 ;Image Entry Point
diff --git a/SITE1/HBI0191C/board.txt b/SITE1/HBI0191C/board.txt
deleted file mode 100644
index cc02aa4..0000000
--- a/SITE1/HBI0191C/board.txt
+++ /dev/null
@@ -1,61 +0,0 @@
-BOARD: HBI0191
-TITLE: V2P-CA9 Configuration File
-
-; Do not place comments within the [SECTION] blocks.
-
-[DCCS]
-TOTALDCCS: 1 ;(1) Total Number of DCCS - Do not change this value
-M0FILE: dbb_v115.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
-
-[FPGAS]
-TOTALFPGAS: 0 ;(0) - Do not change this value
-F0FILE: NONE ;FPGA0 Filename
-F0MODE: NONE ;FPGA0 Programming Mode
-
-[TAPS]
-TOTALTAPS: 6 ;(6) - Do not change this value
-T0NAME: STM32TMC ;TAP0 Device Name
-T0FILE: NONE ;TAP0 Filename
-T0MODE: NONE ;TAP0 Programming Mode
-T1NAME: STM32CM3 ;TAP1 Device Name
-T1FILE: NONE ;TAP1 Filename
-T1MODE: NONE ;TAP1 Programming Mode
-T2NAME: ispCLOCK5610V ;TAP2 Device Name
-T2FILE: ispm_1v.svf ;TAP2 Filename
-T2MODE: PLD ;TAP2 Programming Mode
-T3NAME: ispCLOCK5610V ;TAP3 Device Name
-T3FILE: isps_1v.svf ;TAP3 Filename
-T3MODE: PLD ;TAP3 Programming Mode
-T4NAME: XC2C64A ;TAP4 Device Name
-T4FILE: smbmux.svf ;TAP4 Filename
-T4MODE: PLD ;TAP4 Programming Mode
-T5NAME: XC2C64A ;TAP5 Device Name
-T5FILE: vconvb.svf ;TAP5 Filename
-T5MODE: PLD ;TAP5 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 3 ;Total Number of OSCCLKS (3) - Do not change this value
-OSC0: 40.0 ;OSC0 Frequency in MHz (EXTSAXICLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCDCLK)
-OSC2: 66.67 ;OSC2 Frequency in MHz (TCREFCLK)
-
-[SCC REGISTERS]
-TOTALSCCS: 3 ;Total Number of SCC registers defined
-SCC: 0x000 0xBB8A802A ;CFGRW0 Power up settings - MCLK, AXICLKs, FCLK PLL configuration
-SCC: 0x004 0x00001F09 ;CFGRW1 Power up settings - Remap bits, A9 static signals, MCLK PLL
-SCC: 0x008 0x00000000 ;CFGRW2 Power up settings - Misc, A9 static signals
-
-
-; Alternative Clock options
-;
-; To use these values, copy the SCC: line and replace the lines in the [SCC REGISTERS] section above.
-; Do not place comments between the [SCC REGISTERS] and the last SCC: line.
-
-; Slow : FCLK = 80, FAXI = 80, SAXI = 40, MCLK = 160 ; @ OSC2 = 40 MHz
-;SCC: 0x000 0xCFBF8A3C
-;SCC: 0x004 0x00001F09
-
-; Normal : FCLK = 400, FAXI=200, SAXI = 50, MCLK = 266 ; @ OSC2 = 66.67 MHz
-;SCC: 0x000 0xBB8A802A
-;SCC: 0x004 0x00001F09
diff --git a/SITE1/HBI0191C/dbb_v115.ebf b/SITE1/HBI0191C/dbb_v115.ebf
deleted file mode 100644
index defab99..0000000
--- a/SITE1/HBI0191C/dbb_v115.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE1/HBI0191C/images.txt b/SITE1/HBI0191C/images.txt
deleted file mode 100644
index 25d6e77..0000000
--- a/SITE1/HBI0191C/images.txt
+++ /dev/null
@@ -1,24 +0,0 @@
-TITLE: Versatile Express Images Configuration File
-
-[IMAGES]
-TOTALIMAGES: 4 ;Number of Images (Max : 32)
-NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
-NOR0ADDRESS: BOOT ;Image Flash Address
-NOR0FILE: \SOFTWARE\bm_v521l.axf ;Image File Name
-
-NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR1ADDRESS: 40000000 ;Image Flash Address
-NOR1NAME: BOOTSCRIPT ;Image Name
-NOR1FILE: \SOFTWARE\booscr9.txt ;Image File Name
-
-NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR2ADDRESS: 40000000 ;Image Flash Address
-NOR2FILE: \SOFTWARE\ca9.dtb ;Image File Name
-NOR2LOAD: 68000000 ;Image Load Address
-NOR2ENTRY: 00000000 ;Image Entry Point
-
-NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR3ADDRESS: 41000000 ;Image Flash Address
-NOR3FILE: \SOFTWARE\kernel.bin ;Image File Name
-NOR3LOAD: 60008000 ;Image Load Address
-NOR3ENTRY: 60008000 ;Image Entry Point
diff --git a/SITE1/HBI0191C/ispm_1v.svf b/SITE1/HBI0191C/ispm_1v.svf
deleted file mode 100644
index 0b3dbd9..0000000
--- a/SITE1/HBI0191C/ispm_1v.svf
+++ /dev/null
@@ -1,233 +0,0 @@
-
-
-! Lattice Semiconductor Corp.
-! Serial Vector Format (.SVF) File.
-! User information:
-! File name: C:\MyDesigns\Versetile\V2\V2P_CA9_HBI0191\physical\ispclk\extmaxic.svf
-! CREATED BY: ispVM System Version 17.6
-! CREATION DATE: Thu Oct 15 10:45:27 2009
-! Device: ispPAC-CLK5610V-XXT48 Erase,Program,Verify C:\sub_dspl\V2\V2P_CA9_HBI0191\physical\ispclk\EXTMAXICLK.jed
-! LATTICE_NOTE "Device" "ispPAC-CLK5610V-XXT48"
-! LATTICE_NOTE "Checksum" "3EE0"
-! SVF Revision C Format
-! TCK Frequency = 1MHz
-
-
-
-! PAC-Designer 5.1 ispPAC-CLK5610 Design
-! Title:
-! Author: Unknown User
-
-STATE RESET;
-
-
-! Initialize
-
-! Row_Width :91
-! Address_Length :10
-HDR 0;
-HIR 0;
-TDR 0;
-TIR 0;
-ENDDR DRPAUSE;
-ENDIR IRPAUSE;
-STATE IDLE;
-
-
-! Check the IDCODE
-
-! Shift in IDCODE(0x16) instruction
-SIR 8 TDI (16);
-SDR 32 TDI (FFFFFFFF)
- TDO (00161043)
- MASK (FFFFFFFF);
-
-
-! Program Bscan register
-
-! Shift in Preload(0x1C) instruction
-SIR 8 TDI (1C);
-SDR 56 TDI (00000000000000);
-
-
-! Enable the programming mode
-
-! Shift in PROGRAMEN(0x15) instruction
-SIR 8 TDI (15);
-! wait 2.00e-002 SEC
-RUNTEST IDLE 20005 TCK;
-
-
-! Erase the device
-
-! Shift in CFGBE(0x03) instruction
-SIR 8 TDI (03);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in DISCHARGE(0x14) instruction
-SIR 8 TDI (14);
-! wait 1.00e-002 SEC
-RUNTEST IDLE 10005 TCK;
-
-
-! Full Address Program Fuse Map
-
-! Shift in ISC ADDRESS INIT(0x21) instruction
-SIR 8 TDI (21);
-STATE IDLE;
-! Shift in ISC PROGRAM INCR(0x27) instruction
-SIR 8 TDI (27);
-! Shift in Data Row = 1
-SDR 91 TDI (6FF7F6FDFFFFFFFFFFFFFFF);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 2
-SDR 91 TDI (0343F210FF003FEFFFFFFF7);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 3
-SDR 91 TDI (6EF7F6FDFFFFF1E3C78F1EE);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 4
-SDR 91 TDI (6EF7FEFDFF300000000006E);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 5
-SDR 91 TDI (6EB5BCED7FFFC000000006E);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 6
-SDR 91 TDI (6EB5D8ED7FFFC0000000078);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 7
-SDR 91 TDI (6FF7DEDCBF0031E3C78F1E6);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 8
-SDR 91 TDI (4BA5DC883FFFC0000000067);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 9
-SDR 91 TDI (6FF7FEDCFF3000000000067);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 10
-SDR 91 TDI (6FF7F6DCFFFFC0000000060);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-
-
-! Program USERCODE
-
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-STATE IDLE;
-SDR 32 TDI (FFFFFFFF);
-! Shift in PROGRAM USERCODE(0x1A) instruction
-SIR 8 TDI (1A);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-
-
-! Full Address Verify Fuse Map
-
-! Shift in ISC ADDRESS SHIFT(0x01) instruction
-SIR 8 TDI (01);
-SDR 10 TDI (200);
-! Shift in ISC READ INCR(0x2A) instruction
-SIR 8 TDI (2A);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 1
-SDR 91 TDI (00000000000000000000000)
- TDO (6FF7F6FDFFFFFFFFFFFFFFF);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 2
-SDR 91 TDI (00000000000000000000000)
- TDO (0343F210FF003FEFFFFFFF7);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 3
-SDR 91 TDI (00000000000000000000000)
- TDO (6EF7F6FDFFFFF1E3C78F1EE);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 4
-SDR 91 TDI (00000000000000000000000)
- TDO (6EF7FEFDFF300000000006E);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 5
-SDR 91 TDI (00000000000000000000000)
- TDO (6EB5BCED7FFFC000000006E);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 6
-SDR 91 TDI (00000000000000000000000)
- TDO (6EB5D8ED7FFFC0000000078);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 7
-SDR 91 TDI (00000000000000000000000)
- TDO (6FF7DEDCBF0031E3C78F1E6);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 8
-SDR 91 TDI (00000000000000000000000)
- TDO (4BA5DC883FFFC0000000067);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 9
-SDR 91 TDI (00000000000000000000000)
- TDO (6FF7FEDCFF3000000000067);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 10
-SDR 91 TDI (00000000000000000000000)
- TDO (6FF7F6DCFFFFC0000000060);
-
-
-! Verify USERCODE
-
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-STATE IDLE;
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-SDR 32 TDI (FFFFFFFF)
- TDO (FFFFFFFF);
-
-
-! Program DONE bit
-
-! Shift in ISC PROGRAM DONE(0x2F) instruction
-SIR 8 TDI (2F);
-! wait 5.00e-002 SEC
-RUNTEST IDLE 50005 TCK;
-! Shift in ISC DISABLE(0x1E) instruction
-SIR 8 TDI (1E);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in BYPASS(0xFF) instruction
-SIR 8 TDI (FF);
-! wait 1.00e-002 SEC
-RUNTEST IDLE 10032 TCK;
-! Shift in IDCODE(0x16) instruction
-SIR 8 TDI (16)
- TDO (FF)
- MASK (04);
-
-
-! Exit the programming mode
-
-! Shift in PROGRAMDIS(0x1E) instruction
-SIR 8 TDI (1E);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in BYPASS(0xFF) instruction
-SIR 8 TDI (FF);
-STATE IDLE;
-STATE RESET;
diff --git a/SITE1/HBI0191C/isps_1v.svf b/SITE1/HBI0191C/isps_1v.svf
deleted file mode 100644
index f6a25d5..0000000
--- a/SITE1/HBI0191C/isps_1v.svf
+++ /dev/null
@@ -1,233 +0,0 @@
-
-
-! Lattice Semiconductor Corp.
-! Serial Vector Format (.SVF) File.
-! User information:
-! File name: C:\MyDesigns\Versetile\V2\V2P_CA9_HBI0191\physical\ispclk\extsaxic.svf
-! CREATED BY: ispVM System Version 17.2.1
-! CREATION DATE: Wed Oct 07 16:07:52 2009
-! Device: ispPAC-CLK5610V-XXT48 Erase,Program,Verify C:\sub_dspl\V2\V2P_CA9_HBI0191\physical\ispclk\EXTSAXICLK.jed
-! LATTICE_NOTE "Device" "ispPAC-CLK5610V-XXT48"
-! LATTICE_NOTE "Checksum" "3DE1"
-! SVF Revision C Format
-! TCK Frequency = 1MHz
-
-
-
-! PAC-Designer 4.98 ispPAC-CLK5610 Design
-! Title:
-! Author: Unknown User
-
-STATE RESET;
-
-
-! Initialize
-
-! Row_Width :91
-! Address_Length :10
-HDR 0;
-HIR 0;
-TDR 0;
-TIR 0;
-ENDDR DRPAUSE;
-ENDIR IRPAUSE;
-STATE IDLE;
-
-
-! Check the IDCODE
-
-! Shift in IDCODE(0x16) instruction
-SIR 8 TDI (16);
-SDR 32 TDI (FFFFFFFF)
- TDO (00161043)
- MASK (FFFFFFFF);
-
-
-! Program Bscan register
-
-! Shift in Preload(0x1C) instruction
-SIR 8 TDI (1C);
-SDR 56 TDI (00000000000000);
-
-
-! Enable the programming mode
-
-! Shift in PROGRAMEN(0x15) instruction
-SIR 8 TDI (15);
-! wait 2.00e-002 SEC
-RUNTEST IDLE 20005 TCK;
-
-
-! Erase the device
-
-! Shift in CFGBE(0x03) instruction
-SIR 8 TDI (03);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in DISCHARGE(0x14) instruction
-SIR 8 TDI (14);
-! wait 1.00e-002 SEC
-RUNTEST IDLE 10005 TCK;
-
-
-! Full Address Program Fuse Map
-
-! Shift in ISC ADDRESS INIT(0x21) instruction
-SIR 8 TDI (21);
-STATE IDLE;
-! Shift in ISC PROGRAM INCR(0x27) instruction
-SIR 8 TDI (27);
-! Shift in Data Row = 1
-SDR 91 TDI (6FF7F6FDFFFFFFFFFFFFFFF);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 2
-SDR 91 TDI (0243F210FF003FEFFFFFFF7);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 3
-SDR 91 TDI (6EF7F6FDFFFFF1E3C78F1EE);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 4
-SDR 91 TDI (6CF7FEFDFF300000000006E);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 5
-SDR 91 TDI (6EB5BCED7FFFC000000006E);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 6
-SDR 91 TDI (6FB5D8ED7FDFC0000000068);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 7
-SDR 91 TDI (6F73FADCBF2031E3C78F1E6);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 8
-SDR 91 TDI (4921F8883FFFC0000000067);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 9
-SDR 91 TDI (6F73FADCFF3000000000067);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-! Shift in Data Row = 10
-SDR 91 TDI (6E73D2DCFFFFC0000000064);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-
-
-! Program USERCODE
-
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-STATE IDLE;
-SDR 32 TDI (FFFFFFFF);
-! Shift in PROGRAM USERCODE(0x1A) instruction
-SIR 8 TDI (1A);
-! wait 4.00e-002 SEC
-RUNTEST IDLE 40005 TCK;
-
-
-! Full Address Verify Fuse Map
-
-! Shift in ISC ADDRESS SHIFT(0x01) instruction
-SIR 8 TDI (01);
-SDR 10 TDI (200);
-! Shift in ISC READ INCR(0x2A) instruction
-SIR 8 TDI (2A);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 1
-SDR 91 TDI (00000000000000000000000)
- TDO (6FF7F6FDFFFFFFFFFFFFFFF);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 2
-SDR 91 TDI (00000000000000000000000)
- TDO (0243F210FF003FEFFFFFFF7);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 3
-SDR 91 TDI (00000000000000000000000)
- TDO (6EF7F6FDFFFFF1E3C78F1EE);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 4
-SDR 91 TDI (00000000000000000000000)
- TDO (6CF7FEFDFF300000000006E);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 5
-SDR 91 TDI (00000000000000000000000)
- TDO (6EB5BCED7FFFC000000006E);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 6
-SDR 91 TDI (00000000000000000000000)
- TDO (6FB5D8ED7FDFC0000000068);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 7
-SDR 91 TDI (00000000000000000000000)
- TDO (6F73FADCBF2031E3C78F1E6);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 8
-SDR 91 TDI (00000000000000000000000)
- TDO (4921F8883FFFC0000000067);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 9
-SDR 91 TDI (00000000000000000000000)
- TDO (6F73FADCFF3000000000067);
-! wait 1.00e-003 SEC
-RUNTEST IDLE 1005 TCK;
-! Shift Out Data Row = 10
-SDR 91 TDI (00000000000000000000000)
- TDO (6E73D2DCFFFFC0000000064);
-
-
-! Verify USERCODE
-
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-STATE IDLE;
-! Shift in READ USERCODE(0x17) instruction
-SIR 8 TDI (17);
-SDR 32 TDI (FFFFFFFF)
- TDO (FFFFFFFF);
-
-
-! Program DONE bit
-
-! Shift in ISC PROGRAM DONE(0x2F) instruction
-SIR 8 TDI (2F);
-! wait 5.00e-002 SEC
-RUNTEST IDLE 50005 TCK;
-! Shift in ISC DISABLE(0x1E) instruction
-SIR 8 TDI (1E);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in BYPASS(0xFF) instruction
-SIR 8 TDI (FF);
-! wait 1.00e-002 SEC
-RUNTEST IDLE 10032 TCK;
-! Shift in IDCODE(0x16) instruction
-SIR 8 TDI (16)
- TDO (FF)
- MASK (04);
-
-
-! Exit the programming mode
-
-! Shift in PROGRAMDIS(0x1E) instruction
-SIR 8 TDI (1E);
-! wait 2.00e-001 SEC
-RUNTEST IDLE 200005 TCK;
-! Shift in BYPASS(0xFF) instruction
-SIR 8 TDI (FF);
-STATE IDLE;
-STATE RESET;
diff --git a/SITE1/HBI0191C/smbmux.svf b/SITE1/HBI0191C/smbmux.svf
deleted file mode 100644
index 02b9ba5..0000000
--- a/SITE1/HBI0191C/smbmux.svf
+++ /dev/null
@@ -1,1216 +0,0 @@
-// Created using Xilinx iMPACT Software [ISE - 10.1.02]
-// Date: Thu Jun 25 13:54:02 2009
-
-TRST OFF;
-ENDIR IDLE;
-ENDDR IDLE;
-STATE RESET;
-STATE IDLE;
-FREQUENCY 1E6 HZ;
-// Created using Xilinx iMPACT Software [ISE - 10.1.02]
-// Date: Thu Jun 25 13:55:58 2009
-
-TRST OFF;
-ENDIR IDLE;
-ENDDR IDLE;
-STATE RESET;
-STATE IDLE;
-FREQUENCY 1E6 HZ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) SMASK (ff) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Loading devices with 'erase' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ed) SMASK (ff) ;
-ENDIR IDLE;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
-RUNTEST DRPAUSE 20 TCK;
-STATE IDLE;
-RUNTEST IDLE 100000 TCK;
-STATE DRPAUSE;
-RUNTEST DRPAUSE 5000 TCK;
-RUNTEST IDLE 1 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Programming.
-// Loading devices with 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0102f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0083c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00c2f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01c2053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0143c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0042f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0163c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01e3f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00e0f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00a3c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01a3f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0120f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0033f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01b3c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b3f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00f0f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01f3c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0053c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0152f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01d205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0092f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01920555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0012f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011bc1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00dbc1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01daf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005bc1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00fae1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0038f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002bc1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01aa03fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00abc17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00eaf9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ea017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004a057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014bc17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01caf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ca05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010e05dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ce055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016ee1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ecf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00aee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01acf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (003cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00bcf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00def9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Verification.
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (00) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (40) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (60) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (20) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (30) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (70) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (50) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (10) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (18) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (58) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (78) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (38) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (28) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (68) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (48) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (08) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (14) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe001fa001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (54) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (74) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (34) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (24) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (64) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (020555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (44) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (04) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (06) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (46) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (66) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (26) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (36) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (76) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (56) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (16) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0203fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (12) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (52) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (72) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (32) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (22) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (62) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (42) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (02) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (03) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (43) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (63) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (23) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (33) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (73) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (53) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (13) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (17) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (57) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (77) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (37) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (27) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (67) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (47) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (07) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (45) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-// masking lower UES bits.
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Setting Done bit ...
-// Loading device with a 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
-//Check for Done bit.
-SIR 8 TDI (ff) TDO (05) MASK (07) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-03f8000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-SIR 8 TDI (ff) ;
-SDR 1 TDI (00) SMASK (01) ;
diff --git a/SITE1/HBI0191C/vconvb.svf b/SITE1/HBI0191C/vconvb.svf
deleted file mode 100644
index 88dd209..0000000
--- a/SITE1/HBI0191C/vconvb.svf
+++ /dev/null
@@ -1,1141 +0,0 @@
-// Created using Xilinx iMPACT Software [ISE - 10.1.03]
-// Date: Wed Oct 21 12:29:31 2009
-
-TRST OFF;
-ENDIR IDLE;
-ENDDR IDLE;
-STATE RESET;
-STATE IDLE;
-FREQUENCY 1E6 HZ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) SMASK (ff) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) TDO (f6e5f093) ;
-//Check for Read/Write Protect.
-SIR 8 TDI (ff) TDO (01) MASK (03) ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Loading devices with 'erase' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ed) SMASK (ff) ;
-ENDIR IDLE;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
-RUNTEST DRPAUSE 20 TCK;
-STATE IDLE;
-RUNTEST IDLE 100000 TCK;
-STATE DRPAUSE;
-RUNTEST DRPAUSE 5000 TCK;
-RUNTEST IDLE 1 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'enable' or 'bypass' instruction.
-SIR 8 TDI (e8) ;
-// Programming.
-// Loading devices with 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0003c1fffffffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0102f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018205ffffffffffffffffffffffffffff7dff7ffffffffffffffffbffffffffffffff01) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0083c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00c3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01c079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0143c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0042e1fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006079fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0163c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01e3f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00e0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00a3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01a3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0120f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0033f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00f0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffec1) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01f3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe1e7fffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0053c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0152f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01d205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0093f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0013f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00dbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01dbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00fbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00b879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0038f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01a879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00abc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ebf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01e879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01cbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00c879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (010c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (008ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00cc79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (014ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (016ff9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ec79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00aff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01ac79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (002ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (003c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01bff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00bc79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01fff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (017c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (005ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (015c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (00dff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (009c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (011ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (001cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 10000 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-// Loading devices with 'init' or 'bypass' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-// Loading devices with 'conld' or 'bypass' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST 100 TCK;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Verification.
-// Loading device with a 'verify' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ee) ;
-ENDDR DRPAUSE;
-SDR 7 TDI (00) SMASK (7f) ;
-ENDIR IDLE;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (40) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (60) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ffffffffffffffffffffffffffff7dff7ffffffffffffffffbffffffffffffff01) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (20) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (30) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (70) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (50) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (10) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (18) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (58) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (78) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (38) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (28) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (68) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (48) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (08) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffec1) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1c) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe1e7fffffffffffffffffffffffffffffe78) MASK (
-03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (14) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe001fa001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (54) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (74) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (34) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (24) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (64) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (44) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (04) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (06) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (46) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (66) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (26) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (36) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (76) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (56) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (16) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0e) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1a) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (12) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (52) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (72) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (32) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (22) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (62) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (42) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (02) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (03) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (43) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (63) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (23) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (33) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (73) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (53) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (13) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
-03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0b) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (0f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (4f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (6f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (2f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (3f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (7f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (5f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (1f) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (17) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (57) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (77) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (37) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (27) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (67) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (47) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (07) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
-03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (05) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-ENDDR DRPAUSE;
-SDR 7 TDI (45) SMASK (7f) ;
-RUNTEST DRPAUSE 20 TCK;
-ENDDR IDLE;
-RUNTEST IDLE 100 TCK;
-// masking lower UES bits.
-SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
-0000000000000000000000000000000000000000000000000000000000000000000000) ;
-RUNTEST 100 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'enable' instruction.
-SIR 8 TDI (e8) ;
-// Setting Done bit ...
-// Loading device with a 'program' instruction.
-ENDIR IRPAUSE;
-SIR 8 TDI (ea) ;
-SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
-ENDIR IDLE;
-RUNTEST 10000 TCK;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IDLE;
-RUNTEST IDLE 20 TCK;
-ENDIR IRPAUSE;
-SIR 8 TDI (f0) SMASK (ff) ;
-STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
-RUNTEST 800 TCK;
-ENDIR IDLE;
-//Loading device with 'conld' instruction.
-SIR 8 TDI (c0) ;
-RUNTEST IDLE 100 TCK;
-//Loading device with 'idcode' instruction.
-SIR 8 TDI (01) ;
-SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
-//Check for Done bit.
-SIR 8 TDI (ff) TDO (05) MASK (07) ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-HDR 0 ;
-TDR 0 ;
-TIR 0 ;
-HIR 0 ;
-TDR 0 ;
-HDR 0 ;
-SIR 8 TDI (ff) ;
-SDR 1 TDI (00) SMASK (01) ;
diff --git a/SITE1/HBI0225B/images.txt b/SITE1/HBI0225B/images.txt
index ec50d7f..f1691aa 100644
--- a/SITE1/HBI0225B/images.txt
+++ b/SITE1/HBI0225B/images.txt
@@ -1,24 +1,7 @@
TITLE: Versatile Express Images Configuration File
[IMAGES]
-TOTALIMAGES: 4 ;Number of Images (Max : 32)
-NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
-NOR0ADDRESS: BOOT ;Image Flash Address
-NOR0FILE: \SOFTWARE\bm_v521r.axf ;Image File Name
-
-NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR1ADDRESS: 00000000 ;Image Flash Address
-NOR1NAME: BOOTSCRIPT ;Image Name
-NOR1FILE: \SOFTWARE\booscr5s.txt ;Image File Name
-
-NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR2ADDRESS: 00000000 ;Image Flash Address
-NOR2FILE: \SOFTWARE\ca5s.dtb ;Image File Name
-NOR2LOAD: 88000000 ;Image Load Address
-NOR2ENTRY: 00000000 ;Image Entry Point
-
-NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR3ADDRESS: 01000000 ;Image Flash Address
-NOR3FILE: \SOFTWARE\kernel.bin ;Image File Name
-NOR3LOAD: 80008000 ;Image Load Address
-NOR3ENTRY: 80008000 ;Image Entry Point
+TOTALIMAGES: 1 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
+NOR0ADDRESS: BOOT ;Image Flash Address
+NOR0FILE: \SOFTWARE\A5\uefi.bin ;Image File Name
diff --git a/SITE1/HBI0237A/images.txt b/SITE1/HBI0237A/images.txt
index 7ba5da8..58c0c0d 100644
--- a/SITE1/HBI0237A/images.txt
+++ b/SITE1/HBI0237A/images.txt
@@ -1,7 +1,7 @@
TITLE: Versatile Express Images Configuration File
[IMAGES]
-TOTALIMAGES: 1 ;Number of Images (Max : 32)
-NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
-NOR0ADDRESS: BOOT ;Image Flash Address
-NOR0FILE: \SOFTWARE\bm_v521r.axf ;Image File Name
+TOTALIMAGES: 1 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
+NOR0ADDRESS: BOOT ;Image Flash Address
+NOR0FILE: \SOFTWARE\TC1\uefi.bin ;Image File Name
diff --git a/SITE1/HBI0249A/board.txt b/SITE1/HBI0249A/board.txt
index 715691c..67e73ba 100644
--- a/SITE1/HBI0249A/board.txt
+++ b/SITE1/HBI0249A/board.txt
@@ -37,25 +37,25 @@ OSC8: 50.0 ;DDR2 (8:1 - 400MHz)
TOTALSCCS: 32 ;Total Number of SCC registers
SCC: 0x01C 0xFF00FF00 ;CFGRW3 - SMC CS6/7 N/U
SCC: 0x118 0x01CD1011 ;CFGRW17 - HDLCD PLL external bypass
-
-SCC: 0x700 0x0032F003 ;CFGRW48 - Cluster configuration register (Default 0x0032F003)
- ; [ 28] Boot Cluster (default CA15)
- ; [25:24] Boot CPU (default 0)
- ; [ 15] A7 Event stream generation (default: enabled)
- ; [ 14] A15 Event stream generation (default: enabled)
- ; [ 13] Power down the non-boot cluster (default: enabled)
- ; [ 12] Use per-cpu mailboxes for power management (default: enabled)
- ; [ 11] A15 executes WFEs as nops (default: disabled)
-
-SCC: 0x400 0x33330C00 ;CFGRW41 - A15 configuration register 0 (Default 0x33330C00)
- ; [29:28] SPNIDEN
- ; [25:24] SPIDEN
- ; [21:20] NIDEN
- ; [17:16] DBGEN
- ; [13:12] CFGTE
- ; [ 9: 8] VINITHI_CORE
- ; [ 7] IMINLN
- ; [ 3: 0] CLUSTER_ID
+
+SCC: 0x700 0x1032F003 ;CFGRW48 - Cluster configuration register (Default 0x0032F003)
+ ; [ 28] Boot Cluster (default CA15)
+ ; [25:24] Boot CPU (default 0)
+ ; [ 15] A7 Event stream generation (default: enabled)
+ ; [ 14] A15 Event stream generation (default: enabled)
+ ; [ 13] Power down the non-boot cluster (default: enabled)
+ ; [ 12] Use per-cpu mailboxes for power management (default: enabled)
+ ; [ 11] A15 executes WFEs as nops (default: disabled)
+
+SCC: 0x400 0x33330C00 ;CFGRW41 - A15 configuration register 0 (Default 0x33330C00)
+ ; [29:28] SPNIDEN
+ ; [25:24] SPIDEN
+ ; [21:20] NIDEN
+ ; [17:16] DBGEN
+ ; [13:12] CFGTE
+ ; [ 9: 8] VINITHI_CORE
+ ; [ 7] IMINLN
+ ; [ 3: 0] CLUSTER_ID
;Set the CPU clock PLLs
SCC: 0x120 0x022F1010 ;CFGRW19 - CA15_0 PLL control - 20:1 (lock OFF)
@@ -68,8 +68,8 @@ SCC: 0x138 0x022F1010 ;CFGRW25 - CA7_1 PLL control - 20:1 (lock OFF)
SCC: 0x13C 0x0011710D ;CFGRW26 - CA7_1 PLL value
;Power management interface
-SCC: 0xC00 0x00000007 ;Control: [0]PMI_EN [1]DBG_EN [2]SPC_SYSCFG (disable DBG_EN for power measurements)
-SCC: 0xC04 0x060E0356 ;Latency in uS max: [15:0]DVFS [31:16]PWRUP
+SCC: 0xC00 0x00000005 ;Control: [0]PMI_EN [1]DBG_EN [2]SPC_SYSCFG (disable DBG_EN for power measurements)
+SCC: 0xC04 0x060E0356 ;Latency in uS max: [15:0]DVFS [31:16]PWRUP
SCC: 0xC08 0x00000000 ;Reserved
SCC: 0xC0C 0x00000000 ;Reserved
diff --git a/SITE1/HBI0249A/images.txt b/SITE1/HBI0249A/images.txt
index 3e52432..c5481cc 100644
--- a/SITE1/HBI0249A/images.txt
+++ b/SITE1/HBI0249A/images.txt
@@ -1,26 +1,37 @@
TITLE: Versatile Express Images Configuration File
[IMAGES]
-TOTALIMAGES: 4 ;Number of Images (Max: 32)
+TOTALIMAGES: 6 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
+NOR0ADDRESS: BOOT ;Image Flash Address
+NOR0FILE: \SOFTWARE\bm_v522r.axf ;Image File Name
-NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
-NOR0ADDRESS: BOOT ;Image Flash Address
-NOR0FILE: \SOFTWARE\bm_v522r.axf ;Image File Name
+NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR1ADDRESS: 00000000 ;Image Flash Address
+NOR1NAME: BOOTSCRIPT ;Image Flash Name
+NOR1FILE: \SOFTWARE\TC2\bootscr.txt ;Image File Name
-NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR1ADDRESS: 00000000 ;Image Flash Address
-NOR1NAME: BOOTSCRIPT ;Image Flash Name
-NOR1FILE: \SOFTWARE\booscr15.txt ;Image File Name
-
-NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR2ADDRESS: 00000000 ;Image Flash Address
-NOR2FILE: \SOFTWARE\ca15a7.dtb ;Image File Name
-NOR2LOAD: 88000000 ;Image Load Address
-NOR2ENTRY: 00000000 ;Image Entry Point
+NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR2ADDRESS: 0d000000 ;Image Flash Address
+NOR2FILE: \SOFTWARE\TC2\uefi.bin ;Image File Name
+NOR2LOAD: 81000000 ;Image Load Address
+NOR2ENTRY: 81000000 ;Image Entry Point
NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
-NOR3ADDRESS: 00000000 ;Image Flash Address
-NOR3NAME: kernel ;Image Flash Name
-NOR3FILE: \SOFTWARE\kernel.bin ;Image File Name
+NOR3ADDRESS: 03000000 ;Image Flash Address
+NOR3FILE: \SOFTWARE\TC2\zimage.bin ;Image File Name - master kernel
NOR3LOAD: 80008000 ;Image Load Address
NOR3ENTRY: 80008000 ;Image Entry Point
+
+NOR4UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR4ADDRESS: 00600000 ;Image Flash Address
+NOR4FILE: \SOFTWARE\TC2\dtb.bin ;Image File Name - master DTB
+NOR4LOAD: a0000000 ;Image Load Address
+NOR4ENTRY: a0000000 ;Image Load Address
+
+NOR5UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR5ADDRESS: 0c000000 ;Image Flash Address
+NOR5FILE: \SOFTWARE\TC2\initrd.bin ;Image File Name - master initrd
+NOR5LOAD: a0100000 ;Image Load Address
+NOR5ENTRY: a0100000 ;Image Entry Point
+
diff --git a/SITE2/HBI0192B/AN224/a224cust.bit b/SITE2/HBI0192B/AN224/a224cust.bit
deleted file mode 100644
index e6e36f3..0000000
--- a/SITE2/HBI0192B/AN224/a224cust.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192B/AN224/a224cust.txt b/SITE2/HBI0192B/AN224/a224cust.txt
deleted file mode 100644
index 8d99c07..0000000
--- a/SITE2/HBI0192B/AN224/a224cust.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN224
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a224cust.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN224/a224r0p1.bit b/SITE2/HBI0192B/AN224/a224r0p1.bit
deleted file mode 100644
index e6e36f3..0000000
--- a/SITE2/HBI0192B/AN224/a224r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192B/AN224/a224r0p1.txt b/SITE2/HBI0192B/AN224/a224r0p1.txt
deleted file mode 100644
index ba6c380..0000000
--- a/SITE2/HBI0192B/AN224/a224r0p1.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN224
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a224r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN243/a243cust.bit b/SITE2/HBI0192B/AN243/a243cust.bit
deleted file mode 100644
index 53e1178..0000000
--- a/SITE2/HBI0192B/AN243/a243cust.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192B/AN243/a243cust.txt b/SITE2/HBI0192B/AN243/a243cust.txt
deleted file mode 100644
index f055239..0000000
--- a/SITE2/HBI0192B/AN243/a243cust.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN243
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a243cust.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN243/a243r0p1.bit b/SITE2/HBI0192B/AN243/a243r0p1.bit
deleted file mode 100644
index 53e1178..0000000
--- a/SITE2/HBI0192B/AN243/a243r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192B/AN243/a243r0p1.txt b/SITE2/HBI0192B/AN243/a243r0p1.txt
deleted file mode 100644
index eda89ec..0000000
--- a/SITE2/HBI0192B/AN243/a243r0p1.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN243
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a243r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN283/a283r0p0.bit b/SITE2/HBI0192B/AN283/a283r0p0.bit
deleted file mode 100644
index 81e2666..0000000
--- a/SITE2/HBI0192B/AN283/a283r0p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192B/AN283/a283r0p0.txt b/SITE2/HBI0192B/AN283/a283r0p0.txt
deleted file mode 100644
index c45392b..0000000
--- a/SITE2/HBI0192B/AN283/a283r0p0.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN283
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a283r0p0.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/board.txt b/SITE2/HBI0192B/board.txt
deleted file mode 100644
index 724722c..0000000
--- a/SITE2/HBI0192B/board.txt
+++ /dev/null
@@ -1,13 +0,0 @@
-BOARD: HBI0192
-TITLE: FPGA V2F-1XV5
-
-[APPLICATION NOTE]
-APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
-;APPNOTE: AN224\a224r0p1.txt
-;APPNOTE: AN243\a243r0p1.txt
-;APPNOTE: AN283\a283r0p0.txt
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v135.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0192B/dbb_v135.ebf b/SITE2/HBI0192B/dbb_v135.ebf
deleted file mode 100644
index 2b8c926..0000000
--- a/SITE2/HBI0192B/dbb_v135.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224cust.bit b/SITE2/HBI0192C/AN224/a224cust.bit
deleted file mode 100644
index 85c0b93..0000000
--- a/SITE2/HBI0192C/AN224/a224cust.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224cust.txt b/SITE2/HBI0192C/AN224/a224cust.txt
deleted file mode 100644
index 8d99c07..0000000
--- a/SITE2/HBI0192C/AN224/a224cust.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN224
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a224cust.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN224/a224r0p1.bit b/SITE2/HBI0192C/AN224/a224r0p1.bit
deleted file mode 100644
index 85c0b93..0000000
--- a/SITE2/HBI0192C/AN224/a224r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224r0p1.txt b/SITE2/HBI0192C/AN224/a224r0p1.txt
deleted file mode 100644
index ba6c380..0000000
--- a/SITE2/HBI0192C/AN224/a224r0p1.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN224
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a224r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN243/a243cust.bit b/SITE2/HBI0192C/AN243/a243cust.bit
deleted file mode 100644
index 53e1178..0000000
--- a/SITE2/HBI0192C/AN243/a243cust.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN243/a243cust.txt b/SITE2/HBI0192C/AN243/a243cust.txt
deleted file mode 100644
index f055239..0000000
--- a/SITE2/HBI0192C/AN243/a243cust.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN243
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a243cust.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN243/a243r0p1.bit b/SITE2/HBI0192C/AN243/a243r0p1.bit
deleted file mode 100644
index 53e1178..0000000
--- a/SITE2/HBI0192C/AN243/a243r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN243/a243r0p1.txt b/SITE2/HBI0192C/AN243/a243r0p1.txt
deleted file mode 100644
index eda89ec..0000000
--- a/SITE2/HBI0192C/AN243/a243r0p1.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN243
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a243r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN283/a283r0p0.bit b/SITE2/HBI0192C/AN283/a283r0p0.bit
deleted file mode 100644
index 81e2666..0000000
--- a/SITE2/HBI0192C/AN283/a283r0p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0192C/AN283/a283r0p0.txt b/SITE2/HBI0192C/AN283/a283r0p0.txt
deleted file mode 100644
index c45392b..0000000
--- a/SITE2/HBI0192C/AN283/a283r0p0.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0192
-TITLE: AN283
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a283r0p0.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
-OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/board.txt b/SITE2/HBI0192C/board.txt
deleted file mode 100644
index 724722c..0000000
--- a/SITE2/HBI0192C/board.txt
+++ /dev/null
@@ -1,13 +0,0 @@
-BOARD: HBI0192
-TITLE: FPGA V2F-1XV5
-
-[APPLICATION NOTE]
-APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
-;APPNOTE: AN224\a224r0p1.txt
-;APPNOTE: AN243\a243r0p1.txt
-;APPNOTE: AN283\a283r0p0.txt
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v135.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0192C/dbb_v135.ebf b/SITE2/HBI0192C/dbb_v135.ebf
deleted file mode 100644
index 2b8c926..0000000
--- a/SITE2/HBI0192C/dbb_v135.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f550r0p1.bit b/SITE2/HBI0217B/AN233/f550r0p1.bit
deleted file mode 100644
index c6a6838..0000000
--- a/SITE2/HBI0217B/AN233/f550r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f550r0p1.txt b/SITE2/HBI0217B/AN233/f550r0p1.txt
deleted file mode 100644
index a2de055..0000000
--- a/SITE2/HBI0217B/AN233/f550r0p1.txt
+++ /dev/null
@@ -1,22 +0,0 @@
-BOARD: HBI0217
-TITLE: AN233
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: f550r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA_PCM ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80 ;OSC1 Frequency in MHz (550T ACLK)
-OSC1: 125 ;OSC2 Frequency in MHz (550T MCLK REF)
-OSC2: 23.75 ;OSC3 Frequency in MHz (550T CLCD)
-OSC3: 35 ;OSC4 Frequency in MHz (760 ACLK_LINK & ACLK(ACLK_LINKx2))
-OSC4: 33 ;OSC5 Frequency in MHz (760 AXICLK_EMS)
-OSC5: 24 ;OSC6 Not used
-
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/AN233/f760r0p1.bit b/SITE2/HBI0217B/AN233/f760r0p1.bit
deleted file mode 100644
index 3fbe259..0000000
--- a/SITE2/HBI0217B/AN233/f760r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f760r0p1.txt b/SITE2/HBI0217B/AN233/f760r0p1.txt
deleted file mode 100644
index 4f0d6be..0000000
--- a/SITE2/HBI0217B/AN233/f760r0p1.txt
+++ /dev/null
@@ -1,16 +0,0 @@
-BOARD: HBI0217
-TITLE: AN233
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: f760r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA_PCM ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/AN305/f550r0p0.bit b/SITE2/HBI0217B/AN305/f550r0p0.bit
deleted file mode 100644
index 4616389..0000000
--- a/SITE2/HBI0217B/AN305/f550r0p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/AN305/f550r0p0.txt b/SITE2/HBI0217B/AN305/f550r0p0.txt
deleted file mode 100644
index 5048ca4..0000000
--- a/SITE2/HBI0217B/AN305/f550r0p0.txt
+++ /dev/null
@@ -1,22 +0,0 @@
-BOARD: HBI0217
-TITLE: AN305
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: f550r0p0.bit ;FPGA0 Filename
-F0MODE: FPGA_PCM ;FPGA0 Programming Mode
-
-[OSCCLKS]
-TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80 ;OSC1 Frequency in MHz (550T ACLK)
-OSC1: 120 ;OSC2 Frequency in MHz (550T MCLK REF)
-OSC2: 23.75 ;OSC3 Frequency in MHz (550T CLCD)
-OSC3: 40 ;OSC4 Frequency in MHz (760 ACLK_LINK & ACLK(ACLK_LINKx2))
-OSC4: 33 ;OSC5 Frequency in MHz
-OSC5: 24 ;OSC6 Not used
-
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/AN305/f760r0p0.bit b/SITE2/HBI0217B/AN305/f760r0p0.bit
deleted file mode 100644
index f731593..0000000
--- a/SITE2/HBI0217B/AN305/f760r0p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/AN305/f760r0p0.txt b/SITE2/HBI0217B/AN305/f760r0p0.txt
deleted file mode 100644
index 6375264..0000000
--- a/SITE2/HBI0217B/AN305/f760r0p0.txt
+++ /dev/null
@@ -1,16 +0,0 @@
-BOARD: HBI0217
-TITLE: AN305
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: f760r0p0.bit ;FPGA0 Filename
-F0MODE: FPGA_PCM ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/board.txt b/SITE2/HBI0217B/board.txt
deleted file mode 100644
index 3a3baf6..0000000
--- a/SITE2/HBI0217B/board.txt
+++ /dev/null
@@ -1,18 +0,0 @@
-BOARD: HBI0217
-TITLE: V2F 2XV6 FPGA
-
-[APPLICATION NOTE]
-TOTALAPP: 2 ;Total Number of Application notes (Max:8)
-A0FILE: ANxxx\axxxrxpx.txt ;Please select the required application note
-A1FILE: ANxxx\axxxrxpx.txt ;
-;A0FILE: AN233\f550r0p1.txt ;Application note board file for XC6VLX550T
-;A1FILE: AN233\f760r0p1.txt ;Application note board file for XC6VLX760
-;A0FILE: AN305\f550r0p0.txt ;Application note board file for XC6VLX550T
-;A1FILE: AN305\f760r0p0.txt ;Application note board file for XC6VLX760
-
-[DCC]
-TOTALDCC: 2 ;Total Number of DCC (Max:8)
-M0FILE: dbb_v115.ebf ;Filename for XC6VLX550T DCC
-M0MODE: MICRO ;Programming Mode
-M1FILE: dbb_v215.ebf ;Filename for XC6VLX760 DCC
-M1MODE: MICRO ;Programming Mode
diff --git a/SITE2/HBI0217B/board_1.txt b/SITE2/HBI0217B/board_1.txt
deleted file mode 100644
index b916c22..0000000
--- a/SITE2/HBI0217B/board_1.txt
+++ /dev/null
@@ -1,14 +0,0 @@
-BOARD: HBI0217 stack 1
-TITLE: V2F 2XV6 FPGA
-
-[APPLICATION NOTE]
-TOTALAPP: 2 ;Total Number of Application notes (Max:8)
-A0FILE: ANxxx\axxxrxpx.txt ;Please specify the required application note
-A1FILE: ANxxx\axxxrxpx.txt ;
-
-[DCC]
-TOTALDCC: 2 ;Total Number of DCC (Max:8)
-M0FILE: dbb_v115.ebf ;Filename for XC6VLX550T DCC
-M0MODE: MICRO ;Programming Mode
-M1FILE: dbb_v215.ebf ;Filename for XC6VLX760 DCC
-M1MODE: MICRO ;Programming Mode
diff --git a/SITE2/HBI0217B/dbb_v115.ebf b/SITE2/HBI0217B/dbb_v115.ebf
deleted file mode 100644
index 77b4ed1..0000000
--- a/SITE2/HBI0217B/dbb_v115.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0217B/dbb_v215.ebf b/SITE2/HBI0217B/dbb_v215.ebf
deleted file mode 100644
index 53f4a0f..0000000
--- a/SITE2/HBI0217B/dbb_v215.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0232A/board.txt b/SITE2/HBI0232A/board.txt
deleted file mode 100644
index a9a0267..0000000
--- a/SITE2/HBI0232A/board.txt
+++ /dev/null
@@ -1,21 +0,0 @@
-BOARD: HBI0232
-TITLE: V2C-002 PCIe breakout board
-
-[DCCS]
-TOTALDCCS: 0 ;(1) Total Number of DCCS - Do not change this value
-M0FILE: NONE ;DCC0 Filename
-M0MODE: NONE ;DCC0 Programming Mode
-
-[FPGAS]
-TOTALFPGAS: 0 ;(0) - Do not change this value
-F0FILE: NONE ;FPGA0 Filename
-F0MODE: NONE ;FPGA0 Programming Mode
-
-[TAPS]
-TOTALTAPS: 0 ;(6) - Do not change this value
-
-[OSCCLKS]
-TOTALOSCCLKS: 0 ;Total Number of OSCCLKS (3) - Do not change this value
-
-[SCC REGISTERS]
-TOTALSCCS: 0 ;Total Number of SCC registers defined
diff --git a/SITE2/HBI0247B/AN306/a306r0p1.bit b/SITE2/HBI0247B/AN306/a306r0p1.bit
deleted file mode 100644
index 0d32904..0000000
--- a/SITE2/HBI0247B/AN306/a306r0p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0247B/AN306/a306r0p1.txt b/SITE2/HBI0247B/AN306/a306r0p1.txt
deleted file mode 100644
index d01ce93..0000000
--- a/SITE2/HBI0247B/AN306/a306r0p1.txt
+++ /dev/null
@@ -1,26 +0,0 @@
-BOARD: HBI0247
-TITLE: AN306
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a306r0p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[OSCCLKS]
-TOTALOSCCLKS: 7 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (DDR SYS CLK)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 40.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 70.0 ;OSC5 Frequency in MHz (Not used)
-OSC6: 200.0 ;OSC6 Frequency in MHz (DDR REF CLK) must be 100.0 or 150.0 or 200.0
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0247B/AN307/a307r1p1.bit b/SITE2/HBI0247B/AN307/a307r1p1.bit
deleted file mode 100644
index 6069b87..0000000
--- a/SITE2/HBI0247B/AN307/a307r1p1.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0247B/AN307/a307r1p1.txt b/SITE2/HBI0247B/AN307/a307r1p1.txt
deleted file mode 100644
index 9a6d351..0000000
--- a/SITE2/HBI0247B/AN307/a307r1p1.txt
+++ /dev/null
@@ -1,26 +0,0 @@
-BOARD: HBI0247
-TITLE: AN307
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a307r1p1.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[OSCCLKS]
-TOTALOSCCLKS: 7 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (DDR SYS CLK)
-OSC3: 30.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 40.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 70.0 ;OSC5 Frequency in MHz (Not used)
-OSC6: 200.0 ;OSC6 Frequency in MHz (DDR REF CLK) must be 100.0 or 150.0 or 200.0
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0247B/board.txt b/SITE2/HBI0247B/board.txt
deleted file mode 100644
index 86bf9d0..0000000
--- a/SITE2/HBI0247B/board.txt
+++ /dev/null
@@ -1,13 +0,0 @@
-BOARD: HBI0247
-TITLE: FPGA V2F-1XV7
-
-[APPLICATION NOTE]
-TOTALAPP: 1 ;Total Number of Application notes (Max:8)
-APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
-;APPNOTE: AN306\a306r0p1.txt
-;APPNOTE: AN307\a307r1p1.txt
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v110.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0247B/board_1.txt b/SITE2/HBI0247B/board_1.txt
deleted file mode 100644
index 5fc3000..0000000
--- a/SITE2/HBI0247B/board_1.txt
+++ /dev/null
@@ -1,11 +0,0 @@
-BOARD: HBI0247
-TITLE: FPGA V2F-1XV7
-
-[APPLICATION NOTE]
-TOTALAPP: 1 ;Total Number of Application notes (Max:8)
-APPNOTE: ANxxx\axxxrxpx.txt ;Please specify the required application note
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v110.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0247B/dbb_v110.ebf b/SITE2/HBI0247B/dbb_v110.ebf
deleted file mode 100644
index 0c28326..0000000
--- a/SITE2/HBI0247B/dbb_v110.ebf
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0247C/AN306/a306r1p0.bit b/SITE2/HBI0247C/AN306/a306r1p0.bit
deleted file mode 100644
index 7401d0d..0000000
--- a/SITE2/HBI0247C/AN306/a306r1p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0247C/AN306/a306r1p0.txt b/SITE2/HBI0247C/AN306/a306r1p0.txt
deleted file mode 100644
index c0eee33..0000000
--- a/SITE2/HBI0247C/AN306/a306r1p0.txt
+++ /dev/null
@@ -1,26 +0,0 @@
-BOARD: HBI0247
-TITLE: AN306
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a306r1p0.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[OSCCLKS]
-TOTALOSCCLKS: 7 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (DDR SYS CLK)
-OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
-OSC4: 40.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 70.0 ;OSC5 Frequency in MHz (Not used)
-OSC6: 200.0 ;OSC6 Frequency in MHz (DDR REF CLK) must be 100.0 or 150.0 or 200.0
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0247C/AN307/a307r2p0.bit b/SITE2/HBI0247C/AN307/a307r2p0.bit
deleted file mode 100644
index 7ae4c37..0000000
--- a/SITE2/HBI0247C/AN307/a307r2p0.bit
+++ /dev/null
Binary files differ
diff --git a/SITE2/HBI0247C/AN307/a307r2p0.txt b/SITE2/HBI0247C/AN307/a307r2p0.txt
deleted file mode 100644
index 2491e34..0000000
--- a/SITE2/HBI0247C/AN307/a307r2p0.txt
+++ /dev/null
@@ -1,26 +0,0 @@
-BOARD: HBI0247
-TITLE: AN307
-
-[FPGAS]
-TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
-F0FILE: a307r2p0.bit ;FPGA0 Filename
-F0MODE: FPGA ;FPGA0 Programming Mode
-
-[VOLTAGES]
-TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
-VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
-
-[OSCCLKS]
-TOTALOSCCLKS: 7 ;Total Number of OSCCLKS (Max:8)
-OSC0: 80.0 ;OSC0 Frequency in MHz (ACLK)
-OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
-OSC2: 100.0 ;OSC2 Frequency in MHz (DDR SYS CLK)
-OSC3: 30.0 ;OSC3 Frequency in MHz (Not used)
-OSC4: 40.0 ;OSC4 Frequency in MHz (SMB)
-OSC5: 70.0 ;OSC5 Frequency in MHz (Not used)
-OSC6: 200.0 ;OSC6 Frequency in MHz (DDR REF CLK) must be 100.0 or 150.0 or 200.0
-
-[SCC REGISTERS]
-TOTALSCCS: 2 ;Total Number of SCC registers defined
-SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
-SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0247C/board.txt b/SITE2/HBI0247C/board.txt
deleted file mode 100644
index 7095133..0000000
--- a/SITE2/HBI0247C/board.txt
+++ /dev/null
@@ -1,13 +0,0 @@
-BOARD: HBI0247
-TITLE: FPGA V2F-1XV7
-
-[APPLICATION NOTE]
-TOTALAPP: 1 ;Total Number of Application notes (Max:8)
-APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
-;APPNOTE: AN306\a306r1p0.txt
-;APPNOTE: AN307\a307r2p0.txt
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v110.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0247C/board_1.txt b/SITE2/HBI0247C/board_1.txt
deleted file mode 100644
index 5fc3000..0000000
--- a/SITE2/HBI0247C/board_1.txt
+++ /dev/null
@@ -1,11 +0,0 @@
-BOARD: HBI0247
-TITLE: FPGA V2F-1XV7
-
-[APPLICATION NOTE]
-TOTALAPP: 1 ;Total Number of Application notes (Max:8)
-APPNOTE: ANxxx\axxxrxpx.txt ;Please specify the required application note
-
-[DCCS]
-TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
-M0FILE: dbb_v110.ebf ;DCC0 Filename
-M0MODE: MICRO ;DCC0 Programming Mode
diff --git a/SITE2/HBI0247C/dbb_v110.ebf b/SITE2/HBI0247C/dbb_v110.ebf
deleted file mode 100644
index 0c28326..0000000
--- a/SITE2/HBI0247C/dbb_v110.ebf
+++ /dev/null
Binary files differ
diff --git a/SOFTWARE/A5/uefi.bin b/SOFTWARE/A5/uefi.bin
new file mode 100644
index 0000000..fb533cc
--- /dev/null
+++ b/SOFTWARE/A5/uefi.bin
Binary files differ
diff --git a/SOFTWARE/A9/uefi.bin b/SOFTWARE/A9/uefi.bin
new file mode 100644
index 0000000..6625481
--- /dev/null
+++ b/SOFTWARE/A9/uefi.bin
Binary files differ
diff --git a/SOFTWARE/TC1/uefi.bin b/SOFTWARE/TC1/uefi.bin
new file mode 100644
index 0000000..adb9776
--- /dev/null
+++ b/SOFTWARE/TC1/uefi.bin
Binary files differ
diff --git a/SOFTWARE/TC2/bootscr.txt b/SOFTWARE/TC2/bootscr.txt
new file mode 100644
index 0000000..db87671
--- /dev/null
+++ b/SOFTWARE/TC2/bootscr.txt
@@ -0,0 +1,5 @@
+flash run uefi
+
+# fl linux fdt dtb
+# fl linux initrd initrd
+# fl linux boot zimage console=tty0 console=ttyAMA0,38400n8 root=/dev/mmcblk0p2 rootwait ro androidboot.console=ttyAMA0 mmci.fmax=12000000
diff --git a/SOFTWARE/TC2/dtb.bin b/SOFTWARE/TC2/dtb.bin
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/SOFTWARE/TC2/dtb.bin
diff --git a/SOFTWARE/TC2/initrd.bin b/SOFTWARE/TC2/initrd.bin
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/SOFTWARE/TC2/initrd.bin
diff --git a/SOFTWARE/TC2/uefi.bin b/SOFTWARE/TC2/uefi.bin
new file mode 100644
index 0000000..4b03686
--- /dev/null
+++ b/SOFTWARE/TC2/uefi.bin
Binary files differ
diff --git a/SOFTWARE/TC2/zimage.bin b/SOFTWARE/TC2/zimage.bin
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/SOFTWARE/TC2/zimage.bin
diff --git a/SOFTWARE/bm_v521l.axf b/SOFTWARE/bm_v521l.axf
deleted file mode 100644
index ea15ec1..0000000
--- a/SOFTWARE/bm_v521l.axf
+++ /dev/null
Binary files differ
diff --git a/SOFTWARE/booscr15.txt b/SOFTWARE/booscr15.txt
deleted file mode 100644
index cce1fc0..0000000
--- a/SOFTWARE/booscr15.txt
+++ /dev/null
@@ -1,2 +0,0 @@
-flash linux fdt ca15a7
-flash linux boot kernel root=/dev/sda2 rw rootwait console=ttyAMA0,38400
diff --git a/SOFTWARE/booscr5s.txt b/SOFTWARE/booscr5s.txt
deleted file mode 100644
index 127e5dd..0000000
--- a/SOFTWARE/booscr5s.txt
+++ /dev/null
@@ -1,2 +0,0 @@
-flash linux fdt ca5s
-flash linux boot kernel root=/dev/sda2 rw rootwait console=ttyAMA0,38400
diff --git a/SOFTWARE/booscr9.txt b/SOFTWARE/booscr9.txt
deleted file mode 100644
index d1e0c97..0000000
--- a/SOFTWARE/booscr9.txt
+++ /dev/null
@@ -1,2 +0,0 @@
-flash linux fdt ca9
-flash linux boot kernel root=/dev/sda2 rw rootwait console=ttyAMA0,38400
diff --git a/SOFTWARE/ca15a7.dtb b/SOFTWARE/ca15a7.dtb
deleted file mode 100644
index 994aeb4..0000000
--- a/SOFTWARE/ca15a7.dtb
+++ /dev/null
Binary files differ
diff --git a/SOFTWARE/ca5s.dtb b/SOFTWARE/ca5s.dtb
deleted file mode 100644
index f166d1d..0000000
--- a/SOFTWARE/ca5s.dtb
+++ /dev/null
Binary files differ
diff --git a/SOFTWARE/ca9.dtb b/SOFTWARE/ca9.dtb
deleted file mode 100644
index bdf68d2..0000000
--- a/SOFTWARE/ca9.dtb
+++ /dev/null
Binary files differ
diff --git a/SOFTWARE/kernel.bin b/SOFTWARE/kernel.bin
deleted file mode 100644
index e91242b..0000000
--- a/SOFTWARE/kernel.bin
+++ /dev/null
Binary files differ