summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorRyan Harkin <ryan.harkin@linaro.org>2013-06-14 13:02:30 +0100
committerRyan Harkin <ryan.harkin@linaro.org>2013-06-14 13:02:30 +0100
commitf983bdacc0d2316b3aa6c508b2c9820d10bdf61f (patch)
treef0437d1aeb7bb04133c71012bbb44cb6d21db130
Versatile Express 3.0
boards/Recovery folder from Versatile Express 3.0 DVD Signed-off-by: Ryan Harkin <ryan.harkin@linaro.org>
-rwxr-xr-xMB/HBI0190D/IMAGE/image0.bmpbin0 -> 308278 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image0.wavbin0 -> 1389082 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image1.bmpbin0 -> 308278 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image1.wavbin0 -> 431102 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image2.bmpbin0 -> 481078 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image2.wavbin0 -> 352826 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image3.bmpbin0 -> 481078 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/image3.wavbin0 -> 1565466 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/postscrn.bmpbin0 -> 308278 bytes
-rwxr-xr-xMB/HBI0190D/IMAGE/test.bmpbin0 -> 308278 bytes
-rwxr-xr-xMB/HBI0190D/board.txt28
-rwxr-xr-xMB/HBI0190D/io_b105.bitbin0 -> 1414696 bytes
-rwxr-xr-xMB/HBI0190D/io_b111.bitbin0 -> 1414696 bytes
-rwxr-xr-xMB/HBI0190D/io_b205.bitbin0 -> 1414702 bytes
-rwxr-xr-xMB/HBI0190D/io_b211.bitbin0 -> 1414702 bytes
-rwxr-xr-xMB/HBI0190D/mbb_v225.ebfbin0 -> 185076 bytes
-rwxr-xr-xMB/HBI0190D/mux_b1c.bitbin0 -> 149602 bytes
-rwxr-xr-xMB/HBI0190D/tapid.arm97
-rwxr-xr-xSITE1/HBI0191B/board.txt61
-rwxr-xr-xSITE1/HBI0191B/dbb_v112.ebfbin0 -> 20996 bytes
-rwxr-xr-xSITE1/HBI0191B/images.txt24
-rwxr-xr-xSITE1/HBI0191B/ispm_1v.svf233
-rwxr-xr-xSITE1/HBI0191B/isps_1v.svf233
-rwxr-xr-xSITE1/HBI0191B/smbmux.svf1216
-rwxr-xr-xSITE1/HBI0191B/vconvb.svf1141
-rwxr-xr-xSITE1/HBI0225B/board.txt47
-rwxr-xr-xSITE1/HBI0225B/ca5_r0p0.binbin0 -> 1048576 bytes
-rwxr-xr-xSITE1/HBI0225B/dbb_v102.ebfbin0 -> 24324 bytes
-rwxr-xr-xSITE1/HBI0225B/images.txt24
-rwxr-xr-xSITE2/HBI0192B/AN224/a224cust.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192B/AN224/a224cust.txt21
-rwxr-xr-xSITE2/HBI0192B/AN224/a224r0p1.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192B/AN224/a224r0p1.txt21
-rwxr-xr-xSITE2/HBI0192B/AN243/a243cust.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192B/AN243/a243cust.txt21
-rwxr-xr-xSITE2/HBI0192B/AN243/a243r0p0.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192B/AN243/a243r0p0.txt21
-rwxr-xr-xSITE2/HBI0192B/board.txt14
-rwxr-xr-xSITE2/HBI0192B/dbb_v135.ebfbin0 -> 27380 bytes
-rwxr-xr-xSITE2/HBI0192C/AN224/a224cust.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192C/AN224/a224cust.txt21
-rwxr-xr-xSITE2/HBI0192C/AN224/a224r0p1.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192C/AN224/a224r0p1.txt21
-rwxr-xr-xSITE2/HBI0192C/AN243/a243cust.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192C/AN243/a243cust.txt21
-rwxr-xr-xSITE2/HBI0192C/AN243/a243r0p0.bitbin0 -> 10337122 bytes
-rwxr-xr-xSITE2/HBI0192C/AN243/a243r0p0.txt21
-rwxr-xr-xSITE2/HBI0192C/board.txt14
-rwxr-xr-xSITE2/HBI0192C/dbb_v135.ebfbin0 -> 27380 bytes
-rwxr-xr-xSITE2/HBI0217B/AN233/f550r0p1.bitbin0 -> 18011652 bytes
-rwxr-xr-xSITE2/HBI0217B/AN233/f550r0p1.txt22
-rwxr-xr-xSITE2/HBI0217B/AN233/f760r0p1.bitbin0 -> 23102986 bytes
-rwxr-xr-xSITE2/HBI0217B/AN233/f760r0p1.txt16
-rwxr-xr-xSITE2/HBI0217B/board.txt14
-rwxr-xr-xSITE2/HBI0217B/board_1.txt14
-rwxr-xr-xSITE2/HBI0217B/dbb_v112.ebfbin0 -> 29492 bytes
-rwxr-xr-xSITE2/HBI0217B/dbb_v213.ebfbin0 -> 27060 bytes
-rwxr-xr-xSITE2/HBI0232A/board.txt21
-rwxr-xr-xSOFTWARE/bm_v404l.axfbin0 -> 200828 bytes
-rwxr-xr-xSOFTWARE/bm_v404r.axfbin0 -> 194148 bytes
-rwxr-xr-xSOFTWARE/booscr_l.txt1
-rwxr-xr-xSOFTWARE/booscr_r.txt1
-rwxr-xr-xSOFTWARE/deb_i_l.binbin0 -> 4648444 bytes
-rwxr-xr-xSOFTWARE/deb_i_r.binbin0 -> 4648856 bytes
-rwxr-xr-xSOFTWARE/kernel_l.binbin0 -> 1947864 bytes
-rwxr-xr-xSOFTWARE/kernel_r.binbin0 -> 1947084 bytes
-rwxr-xr-xconfig.txt29
67 files changed, 3418 insertions, 0 deletions
diff --git a/MB/HBI0190D/IMAGE/image0.bmp b/MB/HBI0190D/IMAGE/image0.bmp
new file mode 100755
index 0000000..133c725
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image0.bmp
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image0.wav b/MB/HBI0190D/IMAGE/image0.wav
new file mode 100755
index 0000000..72724fa
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image0.wav
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image1.bmp b/MB/HBI0190D/IMAGE/image1.bmp
new file mode 100755
index 0000000..a19ccd1
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image1.bmp
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image1.wav b/MB/HBI0190D/IMAGE/image1.wav
new file mode 100755
index 0000000..538bda5
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image1.wav
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image2.bmp b/MB/HBI0190D/IMAGE/image2.bmp
new file mode 100755
index 0000000..77b4923
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image2.bmp
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image2.wav b/MB/HBI0190D/IMAGE/image2.wav
new file mode 100755
index 0000000..6b53595
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image2.wav
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image3.bmp b/MB/HBI0190D/IMAGE/image3.bmp
new file mode 100755
index 0000000..af1002b
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image3.bmp
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/image3.wav b/MB/HBI0190D/IMAGE/image3.wav
new file mode 100755
index 0000000..7117bc3
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/image3.wav
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/postscrn.bmp b/MB/HBI0190D/IMAGE/postscrn.bmp
new file mode 100755
index 0000000..d7509f5
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/postscrn.bmp
Binary files differ
diff --git a/MB/HBI0190D/IMAGE/test.bmp b/MB/HBI0190D/IMAGE/test.bmp
new file mode 100755
index 0000000..072ece2
--- /dev/null
+++ b/MB/HBI0190D/IMAGE/test.bmp
Binary files differ
diff --git a/MB/HBI0190D/board.txt b/MB/HBI0190D/board.txt
new file mode 100755
index 0000000..dcc5838
--- /dev/null
+++ b/MB/HBI0190D/board.txt
@@ -0,0 +1,28 @@
+
+BOARD: HBI0190
+TITLE: MotherBoard Configuration File
+
+[MCCS]
+MBBIOS: mbb_v225.ebf ;MB BIOS IMAGE
+
+[FPGAS]
+MBIOFPGA: io_b105.bit ;REQUIRED TO ALLOW UPDATE FROM VECD v1.x
+MBIOFPGA1: io_b105.bit ;MB LEGACY IOFPGA IMAGE FOR SITE 1 BOOT MASTER
+MBIOFPGA2: io_b205.bit ;MB LEGACY IOFPGA IMAGE FOR SITE 2 BOOT MASTER
+MBIOFPGA3: io_b111.bit ;MB A SERIES IOFPGA IMAGE FOR SITE 1 BOOT MASTER
+MBIOFPGA4: io_b211.bit ;MB A SERIES IOFPGA IMAGE FOR SITE 2 BOOT MASTER
+MBMUXFPGA: mux_b1c.bit ;MB MUXFPGA IMAGE
+
+[OSCCLKS]
+TOTALOSCCLKS: 6
+OSC0: 50.0 ;OSC0 MB SMB clock in MHz
+OSC1: 23.75 ;OSC1 MB CLCD clock in MHz
+OSC2: 24.0 ;OSC2 IOFPGA REFCLK in MHz
+OSC3: 24.0 ;OSC3 Reserved
+OSC4: 24.0 ;OSC4 SB_GCLK in MHz
+OSC5: 24.0 ;OSC5 Reserved
+
+
+
+
+
diff --git a/MB/HBI0190D/io_b105.bit b/MB/HBI0190D/io_b105.bit
new file mode 100755
index 0000000..ebbdb1e
--- /dev/null
+++ b/MB/HBI0190D/io_b105.bit
Binary files differ
diff --git a/MB/HBI0190D/io_b111.bit b/MB/HBI0190D/io_b111.bit
new file mode 100755
index 0000000..10ef350
--- /dev/null
+++ b/MB/HBI0190D/io_b111.bit
Binary files differ
diff --git a/MB/HBI0190D/io_b205.bit b/MB/HBI0190D/io_b205.bit
new file mode 100755
index 0000000..139e838
--- /dev/null
+++ b/MB/HBI0190D/io_b205.bit
Binary files differ
diff --git a/MB/HBI0190D/io_b211.bit b/MB/HBI0190D/io_b211.bit
new file mode 100755
index 0000000..8128493
--- /dev/null
+++ b/MB/HBI0190D/io_b211.bit
Binary files differ
diff --git a/MB/HBI0190D/mbb_v225.ebf b/MB/HBI0190D/mbb_v225.ebf
new file mode 100755
index 0000000..76bfdb0
--- /dev/null
+++ b/MB/HBI0190D/mbb_v225.ebf
Binary files differ
diff --git a/MB/HBI0190D/mux_b1c.bit b/MB/HBI0190D/mux_b1c.bit
new file mode 100755
index 0000000..faf9e9a
--- /dev/null
+++ b/MB/HBI0190D/mux_b1c.bit
Binary files differ
diff --git a/MB/HBI0190D/tapid.arm b/MB/HBI0190D/tapid.arm
new file mode 100755
index 0000000..d18c05f
--- /dev/null
+++ b/MB/HBI0190D/tapid.arm
@@ -0,0 +1,97 @@
+# ARM TAP controller IR register lengths file
+#
+# Copyright (C) 2008 ARM Limited. All rights reserved.
+#
+# Revision: 2.03
+#
+# This file contains a definition of the devices names, ID codes, ID code mask
+# and IR lengths for devices used on ARM boards. This file is read by the
+# progcards_usb.exe program and used to determine if a device ID is valid and
+# the corresponding IR length. A device that is not recognized will be display
+# as 'UNKOWN' and device details should be added to this file.
+#
+# DEVICE NAME ID CODE ID MASK IR LENGTH
+# ------------- ---------- ---------- ---------
+
+# XILINX PLDs
+
+XC9572 0x09504093 0x0FFFFFFF 8
+XC9536XL 0x29602093 0x0FFFFFFF 8
+XC9572XL 0x09604093 0x0FFFFFFF 8
+XC95288XL 0x09616093 0x0FFFFFFF 8
+XCR3256XL 0xF494FFFF 0x0FFF8001 4
+XC4036XLA 0x00224093 0x00FFFFFF 3
+XC4062XLA 0x00230093 0x00FFFFFF 3
+XC4085XLA 0x00238093 0x00FFFFFF 3
+XC2C32A 0x06E1C093 0x0FFF0FFF 8
+XC2C64A 0x06E5D093 0x0FFF0FFF 8
+XC2C128 0x06D8A093 0x0FFF0FFF 8
+XC2C256 0x16D4c093 0x0FFF0FFF 8
+XC2C384 0x06D5C093 0x0FFF0FFF 8
+
+# XILINX FPGAs
+
+XCV600 0x10630093 0x00FFFFFF 5
+XCV600E 0x90A30093 0x00FFFFFF 5
+XCV1000 0x40640093 0x0FFFFFFF 5
+XCV2000E 0xF0A50093 0x0FFFFFFF 5
+XC2V2000 0x01038093 0x0FFFFFFF 6
+XC2V6000 0x31060093 0x0FFFFFFF 6
+XC2V8000 0x01070093 0x0FFFFFFF 6
+XC4VLX40 0x316A4093 0x0FFFFFFF 10
+XC4VLX160 0x01718093 0x0FFFFFFF 10
+XC4VLX200 0x01734093 0x0FFFFFFF 10
+XC5VLX50T 0x02A96093 0x0FFFFFFF 10
+XC5VLX110 0x228D6093 0x0FFFFFFF 10
+XC5VLX330 0x2295C093 0x0FFFFFFF 10
+XC2S200E 0x20A1C093 0x0FFFFFFF 5
+XC2S300E 0x90A20093 0x0FFFFFFF 5
+XC3S200A 0x02218093 0x0FFFFFFF 6
+XC3S4000 0x01448093 0x0FFFFFFF 6
+
+# ARM
+
+ARM7TDMI 0x3F0F0F0F 0xFFFFFFFF 4
+ARM7TDMI-r4 0x40700F0F 0xFFFFFFFF 4
+ARM720T 0x0F0F0F0F 0xFFFFFFFF 4
+ARM920T 0x10920F0F 0xFFFFFFFF 4
+ARM926EJ-S 0x07926F0F 0xFFFFFFFF 4
+ARM940T 0x1F0F0F0F 0xFFFFFFFF 4
+ARM10200 0x00A20F0F 0xFFFFFFFF 4
+ARMFLASH 0x0F0E5F2F 0xFFFFFFFF 5
+ARMDBT 0x00000F0F 0xFFFFFFFF 5
+PWP926EJ-S_BS2 0x0F21FF0F 0xFFFFFFFF 2
+ARM_BS2_ 0x0F203F0F 0xFFFFFFFF 2
+ARM1136J-Sr0_BS2 0x0F21DF0F 0xFFFFFFFF 2
+ARM11MPCore_BS5 0x17536021 0xFFFFFFFF 5
+PXP1176JZF_BS2 0x0F2258EF 0xFFFFFFFF 2
+CORTEXA8 0x0F423477 0xFFFFFFFF 4
+CORTEXA9 0x08226021 0xFFFFFFFF 4
+
+# ALTERA
+
+EPM3032A 0x170320DD 0xFFFFFFFF 10
+EPM7256AE 0x172560DD 0xFFFFFFFF 10
+EPM7512AE 0x175120DD 0xFFFFFFFF 10
+EPM1270 0x020A30DD 0xFFFFFFFF 10
+EP20K1000E 0x090000DD 0xFFFFFFFF 10
+EP2S180F 0x020960DD 0xFFFFFFFF 10
+
+# Misc
+
+ispClock5520 0x00150043 0xFFFFFFFF 8
+ispClock5620 0x00160043 0xFFFFFFFF 8
+ispClock5610V 0x00161043 0xFFFFFFFF 8
+ispClock5610AV 0x00166043 0xFFFFFFFF 8
+ISSP 0x0F0F0F0F 0x0FFFFFFF 5
+Mali200 0x10400477 0xFFFFFFFF 2
+PLX6520 0x3197839B 0xFFFFFFFF 5
+PLX8114 0x21FB239B 0xFFFFFFFF 5
+PLX8518 0x1214639B 0xFFFFFFFF 5
+PLX8518 0x4214639B 0xFFFFFFFF 5
+
+STM32TMC 0x16410041 0x0FFF0FFF 5
+STM32CM3 0x3BA00477 0xFFFFFFFF 4
+IDT89HPES32H8 0x08035067 0xFFFFFFFF 6
+NX5000_BYPASS 0x14951185 0xFFFFFFFF 4
+NX5000_FC1152 0x03387589 0xFFFFFFFF 4
diff --git a/SITE1/HBI0191B/board.txt b/SITE1/HBI0191B/board.txt
new file mode 100755
index 0000000..03743c3
--- /dev/null
+++ b/SITE1/HBI0191B/board.txt
@@ -0,0 +1,61 @@
+BOARD: HBI0191
+TITLE: V2P-CA9 Configuration File
+
+; Do not place comments within the [SECTION] blocks.
+
+[DCCS]
+TOTALDCCS: 1 ;(1) Total Number of DCCS - Do not change this value
+M0FILE: dbb_v112.ebf ;DCC0 Filename
+M0MODE: MICRO ;DCC0 Programming Mode
+
+[FPGAS]
+TOTALFPGAS: 0 ;(0) - Do not change this value
+F0FILE: NONE ;FPGA0 Filename
+F0MODE: NONE ;FPGA0 Programming Mode
+
+[TAPS]
+TOTALTAPS: 6 ;(6) - Do not change this value
+T0NAME: STM32TMC ;TAP0 Device Name
+T0FILE: NONE ;TAP0 Filename
+T0MODE: NONE ;TAP0 Programming Mode
+T1NAME: STM32CM3 ;TAP1 Device Name
+T1FILE: NONE ;TAP1 Filename
+T1MODE: NONE ;TAP1 Programming Mode
+T2NAME: ispCLOCK5610V ;TAP2 Device Name
+T2FILE: ispm_1v.svf ;TAP2 Filename
+T2MODE: PLD ;TAP2 Programming Mode
+T3NAME: ispCLOCK5610V ;TAP3 Device Name
+T3FILE: isps_1v.svf ;TAP3 Filename
+T3MODE: PLD ;TAP3 Programming Mode
+T4NAME: XC2C64A ;TAP4 Device Name
+T4FILE: smbmux.svf ;TAP4 Filename
+T4MODE: PLD ;TAP4 Programming Mode
+T5NAME: XC2C64A ;TAP5 Device Name
+T5FILE: vconvb.svf ;TAP5 Filename
+T5MODE: PLD ;TAP5 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 3 ;Total Number of OSCCLKS (3) - Do not change this value
+OSC0: 40.0 ;OSC0 Frequency in MHz (EXTSAXICLK)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCDCLK)
+OSC2: 66.67 ;OSC2 Frequency in MHz (TCREFCLK)
+
+[SCC REGISTERS]
+TOTALSCCS: 3 ;Total Number of SCC registers defined
+SCC: 0x000 0xBB8A802A ;CFGRW0 Power up settings - MCLK, AXICLKs, FCLK PLL configuration
+SCC: 0x004 0x00001F09 ;CFGRW1 Power up settings - Remap bits, A9 static signals, MCLK PLL
+SCC: 0x008 0x00000000 ;CFGRW2 Power up settings - Misc, A9 static signals
+
+
+; Alternative Clock options
+;
+; To use these values, copy the SCC: line and replace the lines in the [SCC REGISTERS] section above.
+; Do not place comments between the [SCC REGISTERS] and the last SCC: line.
+
+; Slow : FCLK = 80, FAXI = 80, SAXI = 40, MCLK = 160 ; @ OSC2 = 40 MHz
+;SCC: 0x000 0xCFBF8A3C
+;SCC: 0x004 0x00001F09
+
+; Normal : FCLK = 400, FAXI=200, SAXI = 50, MCLK = 266 ; @ OSC2 = 66.67 MHz
+;SCC: 0x000 0xBB8A802A
+;SCC: 0x004 0x00001F09
diff --git a/SITE1/HBI0191B/dbb_v112.ebf b/SITE1/HBI0191B/dbb_v112.ebf
new file mode 100755
index 0000000..4c577dd
--- /dev/null
+++ b/SITE1/HBI0191B/dbb_v112.ebf
Binary files differ
diff --git a/SITE1/HBI0191B/images.txt b/SITE1/HBI0191B/images.txt
new file mode 100755
index 0000000..1fcb141
--- /dev/null
+++ b/SITE1/HBI0191B/images.txt
@@ -0,0 +1,24 @@
+TITLE: Versatile Express Images Configuration File
+
+[IMAGES]
+TOTALIMAGES: 4 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
+NOR0ADDRESS: BOOT ;Image Flash Address
+NOR0FILE: \SOFTWARE\bm_v404l.axf ;Image File Name
+
+NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR1ADDRESS: 41000000 ;Image Flash Address
+NOR1FILE: \SOFTWARE\kernel_l.bin ;Image File Name
+NOR1LOAD: 60008000 ;Image Load Address
+NOR1ENTRY: 60008000 ;Image Entry Point
+
+NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR2ADDRESS: 42000000 ;Image Flash Address
+NOR2FILE: \SOFTWARE\deb_i_l.bin ;Image File Name
+NOR2LOAD: 60008000 ;Image Load Address
+NOR2ENTRY: 60008000 ;Image Entry Point
+
+NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR3ADDRESS: 40000000 ;Image Flash Address
+NOR3NAME: BOOTSCRIPT ;Image Name
+NOR3FILE: \SOFTWARE\booscr_l.txt ;Image File Name
diff --git a/SITE1/HBI0191B/ispm_1v.svf b/SITE1/HBI0191B/ispm_1v.svf
new file mode 100755
index 0000000..c047222
--- /dev/null
+++ b/SITE1/HBI0191B/ispm_1v.svf
@@ -0,0 +1,233 @@
+
+
+! Lattice Semiconductor Corp.
+! Serial Vector Format (.SVF) File.
+! User information:
+! File name: C:\MyDesigns\Versetile\V2\V2P_CA9_HBI0191\physical\ispclk\extmaxic.svf
+! CREATED BY: ispVM System Version 17.6
+! CREATION DATE: Thu Oct 15 10:45:27 2009
+! Device: ispPAC-CLK5610V-XXT48 Erase,Program,Verify C:\sub_dspl\V2\V2P_CA9_HBI0191\physical\ispclk\EXTMAXICLK.jed
+! LATTICE_NOTE "Device" "ispPAC-CLK5610V-XXT48"
+! LATTICE_NOTE "Checksum" "3EE0"
+! SVF Revision C Format
+! TCK Frequency = 1MHz
+
+
+
+! PAC-Designer 5.1 ispPAC-CLK5610 Design
+! Title:
+! Author: Unknown User
+
+STATE RESET;
+
+
+! Initialize
+
+! Row_Width :91
+! Address_Length :10
+HDR 0;
+HIR 0;
+TDR 0;
+TIR 0;
+ENDDR DRPAUSE;
+ENDIR IRPAUSE;
+STATE IDLE;
+
+
+! Check the IDCODE
+
+! Shift in IDCODE(0x16) instruction
+SIR 8 TDI (16);
+SDR 32 TDI (FFFFFFFF)
+ TDO (00161043)
+ MASK (FFFFFFFF);
+
+
+! Program Bscan register
+
+! Shift in Preload(0x1C) instruction
+SIR 8 TDI (1C);
+SDR 56 TDI (00000000000000);
+
+
+! Enable the programming mode
+
+! Shift in PROGRAMEN(0x15) instruction
+SIR 8 TDI (15);
+! wait 2.00e-002 SEC
+RUNTEST IDLE 20005 TCK;
+
+
+! Erase the device
+
+! Shift in CFGBE(0x03) instruction
+SIR 8 TDI (03);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in DISCHARGE(0x14) instruction
+SIR 8 TDI (14);
+! wait 1.00e-002 SEC
+RUNTEST IDLE 10005 TCK;
+
+
+! Full Address Program Fuse Map
+
+! Shift in ISC ADDRESS INIT(0x21) instruction
+SIR 8 TDI (21);
+STATE IDLE;
+! Shift in ISC PROGRAM INCR(0x27) instruction
+SIR 8 TDI (27);
+! Shift in Data Row = 1
+SDR 91 TDI (6FF7F6FDFFFFFFFFFFFFFFF);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 2
+SDR 91 TDI (0343F210FF003FEFFFFFFF7);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 3
+SDR 91 TDI (6EF7F6FDFFFFF1E3C78F1EE);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 4
+SDR 91 TDI (6EF7FEFDFF300000000006E);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 5
+SDR 91 TDI (6EB5BCED7FFFC000000006E);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 6
+SDR 91 TDI (6EB5D8ED7FFFC0000000078);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 7
+SDR 91 TDI (6FF7DEDCBF0031E3C78F1E6);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 8
+SDR 91 TDI (4BA5DC883FFFC0000000067);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 9
+SDR 91 TDI (6FF7FEDCFF3000000000067);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 10
+SDR 91 TDI (6FF7F6DCFFFFC0000000060);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+
+
+! Program USERCODE
+
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+STATE IDLE;
+SDR 32 TDI (FFFFFFFF);
+! Shift in PROGRAM USERCODE(0x1A) instruction
+SIR 8 TDI (1A);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+
+
+! Full Address Verify Fuse Map
+
+! Shift in ISC ADDRESS SHIFT(0x01) instruction
+SIR 8 TDI (01);
+SDR 10 TDI (200);
+! Shift in ISC READ INCR(0x2A) instruction
+SIR 8 TDI (2A);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 1
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FF7F6FDFFFFFFFFFFFFFFF);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 2
+SDR 91 TDI (00000000000000000000000)
+ TDO (0343F210FF003FEFFFFFFF7);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 3
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EF7F6FDFFFFF1E3C78F1EE);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 4
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EF7FEFDFF300000000006E);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 5
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EB5BCED7FFFC000000006E);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 6
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EB5D8ED7FFFC0000000078);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 7
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FF7DEDCBF0031E3C78F1E6);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 8
+SDR 91 TDI (00000000000000000000000)
+ TDO (4BA5DC883FFFC0000000067);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 9
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FF7FEDCFF3000000000067);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 10
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FF7F6DCFFFFC0000000060);
+
+
+! Verify USERCODE
+
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+STATE IDLE;
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+SDR 32 TDI (FFFFFFFF)
+ TDO (FFFFFFFF);
+
+
+! Program DONE bit
+
+! Shift in ISC PROGRAM DONE(0x2F) instruction
+SIR 8 TDI (2F);
+! wait 5.00e-002 SEC
+RUNTEST IDLE 50005 TCK;
+! Shift in ISC DISABLE(0x1E) instruction
+SIR 8 TDI (1E);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in BYPASS(0xFF) instruction
+SIR 8 TDI (FF);
+! wait 1.00e-002 SEC
+RUNTEST IDLE 10032 TCK;
+! Shift in IDCODE(0x16) instruction
+SIR 8 TDI (16)
+ TDO (FF)
+ MASK (04);
+
+
+! Exit the programming mode
+
+! Shift in PROGRAMDIS(0x1E) instruction
+SIR 8 TDI (1E);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in BYPASS(0xFF) instruction
+SIR 8 TDI (FF);
+STATE IDLE;
+STATE RESET;
diff --git a/SITE1/HBI0191B/isps_1v.svf b/SITE1/HBI0191B/isps_1v.svf
new file mode 100755
index 0000000..a91a765
--- /dev/null
+++ b/SITE1/HBI0191B/isps_1v.svf
@@ -0,0 +1,233 @@
+
+
+! Lattice Semiconductor Corp.
+! Serial Vector Format (.SVF) File.
+! User information:
+! File name: C:\MyDesigns\Versetile\V2\V2P_CA9_HBI0191\physical\ispclk\extsaxic.svf
+! CREATED BY: ispVM System Version 17.2.1
+! CREATION DATE: Wed Oct 07 16:07:52 2009
+! Device: ispPAC-CLK5610V-XXT48 Erase,Program,Verify C:\sub_dspl\V2\V2P_CA9_HBI0191\physical\ispclk\EXTSAXICLK.jed
+! LATTICE_NOTE "Device" "ispPAC-CLK5610V-XXT48"
+! LATTICE_NOTE "Checksum" "3DE1"
+! SVF Revision C Format
+! TCK Frequency = 1MHz
+
+
+
+! PAC-Designer 4.98 ispPAC-CLK5610 Design
+! Title:
+! Author: Unknown User
+
+STATE RESET;
+
+
+! Initialize
+
+! Row_Width :91
+! Address_Length :10
+HDR 0;
+HIR 0;
+TDR 0;
+TIR 0;
+ENDDR DRPAUSE;
+ENDIR IRPAUSE;
+STATE IDLE;
+
+
+! Check the IDCODE
+
+! Shift in IDCODE(0x16) instruction
+SIR 8 TDI (16);
+SDR 32 TDI (FFFFFFFF)
+ TDO (00161043)
+ MASK (FFFFFFFF);
+
+
+! Program Bscan register
+
+! Shift in Preload(0x1C) instruction
+SIR 8 TDI (1C);
+SDR 56 TDI (00000000000000);
+
+
+! Enable the programming mode
+
+! Shift in PROGRAMEN(0x15) instruction
+SIR 8 TDI (15);
+! wait 2.00e-002 SEC
+RUNTEST IDLE 20005 TCK;
+
+
+! Erase the device
+
+! Shift in CFGBE(0x03) instruction
+SIR 8 TDI (03);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in DISCHARGE(0x14) instruction
+SIR 8 TDI (14);
+! wait 1.00e-002 SEC
+RUNTEST IDLE 10005 TCK;
+
+
+! Full Address Program Fuse Map
+
+! Shift in ISC ADDRESS INIT(0x21) instruction
+SIR 8 TDI (21);
+STATE IDLE;
+! Shift in ISC PROGRAM INCR(0x27) instruction
+SIR 8 TDI (27);
+! Shift in Data Row = 1
+SDR 91 TDI (6FF7F6FDFFFFFFFFFFFFFFF);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 2
+SDR 91 TDI (0243F210FF003FEFFFFFFF7);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 3
+SDR 91 TDI (6EF7F6FDFFFFF1E3C78F1EE);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 4
+SDR 91 TDI (6CF7FEFDFF300000000006E);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 5
+SDR 91 TDI (6EB5BCED7FFFC000000006E);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 6
+SDR 91 TDI (6FB5D8ED7FDFC0000000068);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 7
+SDR 91 TDI (6F73FADCBF2031E3C78F1E6);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 8
+SDR 91 TDI (4921F8883FFFC0000000067);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 9
+SDR 91 TDI (6F73FADCFF3000000000067);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+! Shift in Data Row = 10
+SDR 91 TDI (6E73D2DCFFFFC0000000064);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+
+
+! Program USERCODE
+
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+STATE IDLE;
+SDR 32 TDI (FFFFFFFF);
+! Shift in PROGRAM USERCODE(0x1A) instruction
+SIR 8 TDI (1A);
+! wait 4.00e-002 SEC
+RUNTEST IDLE 40005 TCK;
+
+
+! Full Address Verify Fuse Map
+
+! Shift in ISC ADDRESS SHIFT(0x01) instruction
+SIR 8 TDI (01);
+SDR 10 TDI (200);
+! Shift in ISC READ INCR(0x2A) instruction
+SIR 8 TDI (2A);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 1
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FF7F6FDFFFFFFFFFFFFFFF);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 2
+SDR 91 TDI (00000000000000000000000)
+ TDO (0243F210FF003FEFFFFFFF7);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 3
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EF7F6FDFFFFF1E3C78F1EE);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 4
+SDR 91 TDI (00000000000000000000000)
+ TDO (6CF7FEFDFF300000000006E);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 5
+SDR 91 TDI (00000000000000000000000)
+ TDO (6EB5BCED7FFFC000000006E);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 6
+SDR 91 TDI (00000000000000000000000)
+ TDO (6FB5D8ED7FDFC0000000068);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 7
+SDR 91 TDI (00000000000000000000000)
+ TDO (6F73FADCBF2031E3C78F1E6);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 8
+SDR 91 TDI (00000000000000000000000)
+ TDO (4921F8883FFFC0000000067);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 9
+SDR 91 TDI (00000000000000000000000)
+ TDO (6F73FADCFF3000000000067);
+! wait 1.00e-003 SEC
+RUNTEST IDLE 1005 TCK;
+! Shift Out Data Row = 10
+SDR 91 TDI (00000000000000000000000)
+ TDO (6E73D2DCFFFFC0000000064);
+
+
+! Verify USERCODE
+
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+STATE IDLE;
+! Shift in READ USERCODE(0x17) instruction
+SIR 8 TDI (17);
+SDR 32 TDI (FFFFFFFF)
+ TDO (FFFFFFFF);
+
+
+! Program DONE bit
+
+! Shift in ISC PROGRAM DONE(0x2F) instruction
+SIR 8 TDI (2F);
+! wait 5.00e-002 SEC
+RUNTEST IDLE 50005 TCK;
+! Shift in ISC DISABLE(0x1E) instruction
+SIR 8 TDI (1E);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in BYPASS(0xFF) instruction
+SIR 8 TDI (FF);
+! wait 1.00e-002 SEC
+RUNTEST IDLE 10032 TCK;
+! Shift in IDCODE(0x16) instruction
+SIR 8 TDI (16)
+ TDO (FF)
+ MASK (04);
+
+
+! Exit the programming mode
+
+! Shift in PROGRAMDIS(0x1E) instruction
+SIR 8 TDI (1E);
+! wait 2.00e-001 SEC
+RUNTEST IDLE 200005 TCK;
+! Shift in BYPASS(0xFF) instruction
+SIR 8 TDI (FF);
+STATE IDLE;
+STATE RESET;
diff --git a/SITE1/HBI0191B/smbmux.svf b/SITE1/HBI0191B/smbmux.svf
new file mode 100755
index 0000000..2cdb916
--- /dev/null
+++ b/SITE1/HBI0191B/smbmux.svf
@@ -0,0 +1,1216 @@
+// Created using Xilinx iMPACT Software [ISE - 10.1.02]
+// Date: Thu Jun 25 13:54:02 2009
+
+TRST OFF;
+ENDIR IDLE;
+ENDDR IDLE;
+STATE RESET;
+STATE IDLE;
+FREQUENCY 1E6 HZ;
+// Created using Xilinx iMPACT Software [ISE - 10.1.02]
+// Date: Thu Jun 25 13:55:58 2009
+
+TRST OFF;
+ENDIR IDLE;
+ENDDR IDLE;
+STATE RESET;
+STATE IDLE;
+FREQUENCY 1E6 HZ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) SMASK (ff) ;
+SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
+//Check for Read/Write Protect.
+SIR 8 TDI (ff) TDO (01) MASK (03) ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Loading device with a 'verify' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ee) ;
+ENDDR DRPAUSE;
+SDR 7 TDI (05) SMASK (7f) ;
+ENDIR IDLE;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+03f8000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) ;
+SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
+//Check for Read/Write Protect.
+SIR 8 TDI (ff) TDO (01) MASK (03) ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Loading device with a 'verify' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ee) ;
+ENDDR DRPAUSE;
+SDR 7 TDI (05) SMASK (7f) ;
+ENDIR IDLE;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+03f8000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+// Loading devices with 'enable' or 'bypass' instruction.
+SIR 8 TDI (e8) ;
+// Loading devices with 'erase' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ed) SMASK (ff) ;
+ENDIR IDLE;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
+RUNTEST DRPAUSE 20 TCK;
+STATE IDLE;
+RUNTEST IDLE 100000 TCK;
+STATE DRPAUSE;
+RUNTEST DRPAUSE 5000 TCK;
+RUNTEST IDLE 1 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'enable' or 'bypass' instruction.
+SIR 8 TDI (e8) ;
+// Programming.
+// Loading devices with 'program' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ea) ;
+SDR 281 TDI (0003c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+ENDIR IDLE;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0102f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0083c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00c2f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01c2053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0143c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0042f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0163c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01e3f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00e0f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00a3c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01a3f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0120f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0033f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01b3c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00b3f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00f0f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01f3c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0053c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0152f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01d205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0092f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01920555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0012f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (001a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (011bc1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (019af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (009a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00dbc1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01daf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (015a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (005bc1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (007af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (017a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00fae1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00b8f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (013bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0038f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (002bc1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (012af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01aa03fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00abc17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00eaf9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01ea017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (016bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (004a057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (014bc17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01caf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00ca05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018af9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (010a05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (000ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (010e05dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (008ef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00ce055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (014ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (016ee1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01ecf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00aee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01acf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (002ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (003cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01bee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00bcf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01fef9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (017e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (005ee1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (015cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00def9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (009e05fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (011ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (001cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 10000 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Verification.
+// Loading device with a 'verify' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ee) ;
+ENDDR DRPAUSE;
+SDR 7 TDI (00) SMASK (7f) ;
+ENDIR IDLE;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (40) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fedffffffffffffffffffffffffffffebefffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (60) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ff3fffffffffffffffffffffdffffffebefffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (20) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1edfffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (30) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffedfffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (70) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02053ffffffffffffffffffffdfffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (50) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c0dffffffffffffffffffffffffffffbeefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (10) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9f3fffffffffffffffffffff7fffffbeefffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (18) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffcffffffffff7ffffffffbeefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (58) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (78) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffffcfffffffffdffffffffffbbefffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (38) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffb7ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (28) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffffffffb7fffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (68) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffff3fff7fffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (48) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffb7fffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (08) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9ffffffedffffffffffffffffffffebfefffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c155555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f955555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffd6bfffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffebffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe1fffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (14) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffebffffd7fffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe001fa001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (54) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f95ffffffffafffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (74) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205eb5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (34) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (24) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (64) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (020555555d75d57ffffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (44) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (04) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fff3fffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (06) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (46) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1ffedffffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (66) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (26) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (36) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffff7f3ffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (76) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (56) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (16) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffcdfffffffffffffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffff7ff7febfefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0203fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7fffdfffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9ffffffffffffdffffffffffffffffbbefffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02017ffff7fffff7fffffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (12) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02057ffff7ffffffff7ffffffffffffffbbefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (52) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c17ffff7ff7ffffffffffffffffffffbbefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (72) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (32) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (22) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (62) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (42) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (02) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (03) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (43) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205dfffefffffffffffffffffffffffbbfefffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (63) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (23) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (33) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02055ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (73) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (53) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (13) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (17) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (57) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (77) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (37) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (27) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (67) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (47) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (07) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (05) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+0000000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (45) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+// masking lower UES bits.
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+0000000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+//Loading device with 'conld' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST IDLE 100 TCK;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Setting Done bit ...
+// Loading device with a 'program' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ea) ;
+SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+ENDIR IDLE;
+RUNTEST 10000 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+//Loading device with 'conld' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST IDLE 100 TCK;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) ;
+SDR 32 TDI (00000000) SMASK (ffffffff) TDO (76e5f093) MASK (0fff8fff) ;
+//Check for Done bit.
+SIR 8 TDI (ff) TDO (05) MASK (07) ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Loading device with a 'verify' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ee) ;
+ENDDR DRPAUSE;
+SDR 7 TDI (05) SMASK (7f) ;
+ENDIR IDLE;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (0000000000000000000000000000000000000000000000000000000000000000000000) SMASK (0000000000000000000000000000000000000000000000000000000000000000000000) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+03f8000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+SIR 8 TDI (ff) ;
+SDR 1 TDI (00) SMASK (01) ;
diff --git a/SITE1/HBI0191B/vconvb.svf b/SITE1/HBI0191B/vconvb.svf
new file mode 100755
index 0000000..9939692
--- /dev/null
+++ b/SITE1/HBI0191B/vconvb.svf
@@ -0,0 +1,1141 @@
+// Created using Xilinx iMPACT Software [ISE - 10.1.03]
+// Date: Wed Oct 21 12:29:31 2009
+
+TRST OFF;
+ENDIR IDLE;
+ENDDR IDLE;
+STATE RESET;
+STATE IDLE;
+FREQUENCY 1E6 HZ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) SMASK (ff) ;
+SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
+//Check for Read/Write Protect.
+SIR 8 TDI (ff) TDO (01) MASK (03) ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) ;
+SDR 32 TDI (00000000) TDO (f6e5f093) ;
+//Check for Read/Write Protect.
+SIR 8 TDI (ff) TDO (01) MASK (03) ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+// Loading devices with 'enable' or 'bypass' instruction.
+SIR 8 TDI (e8) ;
+// Loading devices with 'erase' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ed) SMASK (ff) ;
+ENDIR IDLE;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRPAUSE;
+RUNTEST DRPAUSE 20 TCK;
+STATE IDLE;
+RUNTEST IDLE 100000 TCK;
+STATE DRPAUSE;
+RUNTEST DRPAUSE 5000 TCK;
+RUNTEST IDLE 1 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'enable' or 'bypass' instruction.
+SIR 8 TDI (e8) ;
+// Programming.
+// Loading devices with 'program' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ea) ;
+SDR 281 TDI (0003c1fffffffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe0f) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+ENDIR IDLE;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0102f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018205ffffffffffffffffffffffffffff7dff7ffffffffffffffffbffffffffffffff01) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0083c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00c3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01c079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0143c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0042e1fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006079fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0163c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01e3f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00e0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00a3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01a3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0120f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0023c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0033f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0130f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01b3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00b3f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00f0f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffec1) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01f3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0173f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0070f9ffffffffffffffffffffffffffffffe1e7fffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0053c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0152f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01d205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00d3c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0093f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (019079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0113c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0013f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (001879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (011bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (019bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (009879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00dbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01dbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (015879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (005bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (007bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (017879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01fbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00fbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00b879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01bbc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (013bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0038f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (002bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (012bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01a879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00abc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00ebf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01e879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (016bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (004879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (014bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01cbf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00c879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (008bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018bf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (010879fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (000bc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (000ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (010c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (018fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (008ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00cc79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01cfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (014ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (004cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (006fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (016ff9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01ec79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00efc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00aff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01ac79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (012fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (002ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (003c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (013fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01bff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00bc79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00ffc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01fff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (017c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (007fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (005ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (015c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (01dfc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (00dff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (009c79fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (019fc1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (011ff9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (001cf9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0017ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 10000 TCK;
+SDR 281 TDI (0117ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 10000 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+// Loading devices with 'init' or 'bypass' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+// Loading devices with 'conld' or 'bypass' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST 100 TCK;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Verification.
+// Loading device with a 'verify' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ee) ;
+ENDDR DRPAUSE;
+SDR 7 TDI (00) SMASK (7f) ;
+ENDIR IDLE;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffff7ffffffffffebfefffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (40) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (60) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205ffffffffffffffffffffffffffff7dff7ffffffffffffffffbffffffffffffff01) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (20) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (30) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (70) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (50) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (10) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02e1fffff7ffffffffffffffffffffffebfefffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (18) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (58) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (78) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffff5ff7fffffffffffffffffffffffffdffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (38) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (28) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (68) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (48) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (08) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffec1) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1c) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9ffffffffffffffffffffffffffffffe1e7fffffffffffffffffffffffffffffe78) MASK (
+03fffffffffffffffffffffffffffffe001ff801ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (14) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe001fa001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (54) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (02f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (74) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0205fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (34) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (24) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (64) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (44) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (04) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (06) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (46) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (66) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (26) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (36) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (76) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (56) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (16) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe1d) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0e) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1a) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (12) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (52) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (72) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (32) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (22) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (62) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (42) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (02) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (03) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (43) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (63) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (23) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (33) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (73) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (53) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (13) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffff9fffffffffffffffffffffffffffffffe7f) MASK (
+03fffffffffffffffffffffffffffffe00078001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03fffffffffffffffffffffffffffffe00000001ffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0b) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (0f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (4f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (6f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (2f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (3f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (7f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (5f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (1f) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (17) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (57) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7c) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (77) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (37) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (27) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (0079fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (67) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03c1fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (47) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe7f) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (07) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (00f9fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe78) MASK (
+03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (05) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+0000000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+ENDDR DRPAUSE;
+SDR 7 TDI (45) SMASK (7f) ;
+RUNTEST DRPAUSE 20 TCK;
+ENDDR IDLE;
+RUNTEST IDLE 100 TCK;
+// masking lower UES bits.
+SDR 274 TDI (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) TDO (03ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) MASK (
+0000000000000000000000000000000000000000000000000000000000000000000000) ;
+RUNTEST 100 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+//Loading device with 'conld' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST IDLE 100 TCK;
+//Loading device with 'enable' instruction.
+SIR 8 TDI (e8) ;
+// Setting Done bit ...
+// Loading device with a 'program' instruction.
+ENDIR IRPAUSE;
+SIR 8 TDI (ea) ;
+SDR 281 TDI (0017fdffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) SMASK (01ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff) ;
+ENDIR IDLE;
+RUNTEST 10000 TCK;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IDLE;
+RUNTEST IDLE 20 TCK;
+ENDIR IRPAUSE;
+SIR 8 TDI (f0) SMASK (ff) ;
+STATE IREXIT2 IRUPDATE DRSELECT DRCAPTURE DREXIT1 DRUPDATE IDLE;
+RUNTEST 800 TCK;
+ENDIR IDLE;
+//Loading device with 'conld' instruction.
+SIR 8 TDI (c0) ;
+RUNTEST IDLE 100 TCK;
+//Loading device with 'idcode' instruction.
+SIR 8 TDI (01) ;
+SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f6e5f093) MASK (0fff8fff) ;
+//Check for Done bit.
+SIR 8 TDI (ff) TDO (05) MASK (07) ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+TIR 0 ;
+HIR 0 ;
+HDR 0 ;
+TDR 0 ;
+TIR 0 ;
+HIR 0 ;
+TDR 0 ;
+HDR 0 ;
+SIR 8 TDI (ff) ;
+SDR 1 TDI (00) SMASK (01) ;
diff --git a/SITE1/HBI0225B/board.txt b/SITE1/HBI0225B/board.txt
new file mode 100755
index 0000000..820329b
--- /dev/null
+++ b/SITE1/HBI0225B/board.txt
@@ -0,0 +1,47 @@
+BOARD: HBI0225
+TITLE: V2P-CA5s Configuration File
+
+[DCCS]
+TOTALDCCS: 1 ;Total Number of DCCS
+M0FILE: dbb_v102.ebf ;DCC0 Filename
+M0MODE: MICRO ;DCC0 Programming Mode
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAs
+F0FILE: ca5_r0p0.bin ;FPGA0 Filename (TestChip image)
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[TAPS]
+TOTALTAPS: 4 ;Total Number of TAPs
+T0NAME: STM32TMC ;TAP0 Device Name
+T0FILE: NONE ;TAP0 Filename
+T0MODE: NONE ;TAP0 Programming Mode
+T1NAME: STM32CM3 ;TAP1 Device Name
+T1FILE: NONE ;TAP1 Filename
+T1MODE: NONE ;TAP1 Programming Mode
+T2NAME: NX5000_BYPASS ;TAP2 Device Name
+T2FILE: NONE ;TAP2 Filename
+T2MODE: NONE ;TAP2 Programming Mode
+T3NAME: NX5000_FC1152 ;TAP3 Device Name
+T3FILE: NONE ;TAP3 Filename
+T3MODE: NONE ;TAP3 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS
+OSC0: 50.0 ;CPU and AXI (2:1 - 50MHz)
+OSC1: 40.0 ;HSBM (40MHz)
+OSC2: 60.0 ;DDR2 (2:1 - 60MHz)
+OSC3: 23.75 ;HDLCD (23.75MHz)
+OSC4: 80.0 ;TestChip config (80MHz)
+OSC5: 50.0 ;SMB (50MHz)
+
+[SCC REGISTERS]
+TOTALSCCS: 8 ;Total Number of SCC registers
+SCC: 0x000 0x400F0000 ;CFGRW0
+SCC: 0x004 0x40882110 ;CFGRW1
+SCC: 0x010 0x14FC00F4 ;CFGRW2
+SCC: 0x014 0x1CFC18FC ;CFGRW3
+SCC: 0x018 0x10FC0CFC ;CFGRW4
+SCC: 0x01C 0xFF0004FC ;CFGRW5
+SCC: 0x190 0x07220477 ;CFGRW6
+SCC: 0x194 0x00000000 ;CFGRW7
diff --git a/SITE1/HBI0225B/ca5_r0p0.bin b/SITE1/HBI0225B/ca5_r0p0.bin
new file mode 100755
index 0000000..5d55715
--- /dev/null
+++ b/SITE1/HBI0225B/ca5_r0p0.bin
Binary files differ
diff --git a/SITE1/HBI0225B/dbb_v102.ebf b/SITE1/HBI0225B/dbb_v102.ebf
new file mode 100755
index 0000000..1a4dedd
--- /dev/null
+++ b/SITE1/HBI0225B/dbb_v102.ebf
Binary files differ
diff --git a/SITE1/HBI0225B/images.txt b/SITE1/HBI0225B/images.txt
new file mode 100755
index 0000000..3fa181b
--- /dev/null
+++ b/SITE1/HBI0225B/images.txt
@@ -0,0 +1,24 @@
+TITLE: Versatile Express Images Configuration File
+
+[IMAGES]
+TOTALIMAGES: 4 ;Number of Images (Max : 32)
+NOR0UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
+NOR0ADDRESS: BOOT ;Image Flash Address
+NOR0FILE: \SOFTWARE\bm_v404r.axf ;Image File Name
+
+NOR1UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR1ADDRESS: 01000000 ;Image Flash Address
+NOR1FILE: \SOFTWARE\kernel_r.bin ;Image File Name
+NOR1LOAD: 80008000 ;Image Load Address
+NOR1ENTRY: 80008000 ;Image Entry Point
+
+NOR2UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR2ADDRESS: 02000000 ;Image Flash Address
+NOR2FILE: \SOFTWARE\deb_i_r.bin ;Image File Name
+NOR2LOAD: 80008000 ;Image Load Address
+NOR2ENTRY: 80008000 ;Image Entry Point
+
+NOR3UPDATE: AUTO ;IMAGE UPDATE:NONE/AUTO/FORCE
+NOR3ADDRESS: 00000000 ;Image Flash Address
+NOR3NAME: BOOTSCRIPT ;Image Name
+NOR3FILE: \SOFTWARE\booscr_r.txt ;Image File Name
diff --git a/SITE2/HBI0192B/AN224/a224cust.bit b/SITE2/HBI0192B/AN224/a224cust.bit
new file mode 100755
index 0000000..e6e36f3
--- /dev/null
+++ b/SITE2/HBI0192B/AN224/a224cust.bit
Binary files differ
diff --git a/SITE2/HBI0192B/AN224/a224cust.txt b/SITE2/HBI0192B/AN224/a224cust.txt
new file mode 100755
index 0000000..8d99c07
--- /dev/null
+++ b/SITE2/HBI0192B/AN224/a224cust.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN224
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a224cust.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN224/a224r0p1.bit b/SITE2/HBI0192B/AN224/a224r0p1.bit
new file mode 100755
index 0000000..e6e36f3
--- /dev/null
+++ b/SITE2/HBI0192B/AN224/a224r0p1.bit
Binary files differ
diff --git a/SITE2/HBI0192B/AN224/a224r0p1.txt b/SITE2/HBI0192B/AN224/a224r0p1.txt
new file mode 100755
index 0000000..ba6c380
--- /dev/null
+++ b/SITE2/HBI0192B/AN224/a224r0p1.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN224
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a224r0p1.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN243/a243cust.bit b/SITE2/HBI0192B/AN243/a243cust.bit
new file mode 100755
index 0000000..8264231
--- /dev/null
+++ b/SITE2/HBI0192B/AN243/a243cust.bit
Binary files differ
diff --git a/SITE2/HBI0192B/AN243/a243cust.txt b/SITE2/HBI0192B/AN243/a243cust.txt
new file mode 100755
index 0000000..f055239
--- /dev/null
+++ b/SITE2/HBI0192B/AN243/a243cust.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN243
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a243cust.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/AN243/a243r0p0.bit b/SITE2/HBI0192B/AN243/a243r0p0.bit
new file mode 100755
index 0000000..8264231
--- /dev/null
+++ b/SITE2/HBI0192B/AN243/a243r0p0.bit
Binary files differ
diff --git a/SITE2/HBI0192B/AN243/a243r0p0.txt b/SITE2/HBI0192B/AN243/a243r0p0.txt
new file mode 100755
index 0000000..ce94be5
--- /dev/null
+++ b/SITE2/HBI0192B/AN243/a243r0p0.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN243
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a243r0p0.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192B/board.txt b/SITE2/HBI0192B/board.txt
new file mode 100755
index 0000000..18ec327
--- /dev/null
+++ b/SITE2/HBI0192B/board.txt
@@ -0,0 +1,14 @@
+BOARD: HBI0192
+TITLE: FPGA V2F-1XV5
+
+[APPLICATION NOTE]
+APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
+;APPNOTE: AN224\a224r0p1.txt
+;APPNOTE: AN243\a243r0p0.txt
+
+[DCCS]
+TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
+M0FILE: dbb_v135.ebf ;DCC0 Filename
+M0MODE: MICRO ;DCC0 Programming Mode
+
+
diff --git a/SITE2/HBI0192B/dbb_v135.ebf b/SITE2/HBI0192B/dbb_v135.ebf
new file mode 100755
index 0000000..2b8c926
--- /dev/null
+++ b/SITE2/HBI0192B/dbb_v135.ebf
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224cust.bit b/SITE2/HBI0192C/AN224/a224cust.bit
new file mode 100755
index 0000000..85c0b93
--- /dev/null
+++ b/SITE2/HBI0192C/AN224/a224cust.bit
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224cust.txt b/SITE2/HBI0192C/AN224/a224cust.txt
new file mode 100755
index 0000000..8d99c07
--- /dev/null
+++ b/SITE2/HBI0192C/AN224/a224cust.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN224
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a224cust.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN224/a224r0p1.bit b/SITE2/HBI0192C/AN224/a224r0p1.bit
new file mode 100755
index 0000000..85c0b93
--- /dev/null
+++ b/SITE2/HBI0192C/AN224/a224r0p1.bit
Binary files differ
diff --git a/SITE2/HBI0192C/AN224/a224r0p1.txt b/SITE2/HBI0192C/AN224/a224r0p1.txt
new file mode 100755
index 0000000..ba6c380
--- /dev/null
+++ b/SITE2/HBI0192C/AN224/a224r0p1.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN224
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a224r0p1.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 90.0 ;OSC0 Frequency in MHz (ACLK)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 33.0 ;OSC3 Frequency in MHz (ExtS ACLK)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN243/a243cust.bit b/SITE2/HBI0192C/AN243/a243cust.bit
new file mode 100755
index 0000000..8264231
--- /dev/null
+++ b/SITE2/HBI0192C/AN243/a243cust.bit
Binary files differ
diff --git a/SITE2/HBI0192C/AN243/a243cust.txt b/SITE2/HBI0192C/AN243/a243cust.txt
new file mode 100755
index 0000000..f055239
--- /dev/null
+++ b/SITE2/HBI0192C/AN243/a243cust.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN243
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a243cust.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/AN243/a243r0p0.bit b/SITE2/HBI0192C/AN243/a243r0p0.bit
new file mode 100755
index 0000000..8264231
--- /dev/null
+++ b/SITE2/HBI0192C/AN243/a243r0p0.bit
Binary files differ
diff --git a/SITE2/HBI0192C/AN243/a243r0p0.txt b/SITE2/HBI0192C/AN243/a243r0p0.txt
new file mode 100755
index 0000000..ce94be5
--- /dev/null
+++ b/SITE2/HBI0192C/AN243/a243r0p0.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0192
+TITLE: AN243
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: a243r0p0.bit ;FPGA0 Filename
+F0MODE: FPGA ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 80.0 ;OSC0 Frequency in MHz (2:1 ACLK/HSBS)
+OSC1: 23.75 ;OSC1 Frequency in MHz (CLCD)
+OSC2: 100.0 ;OSC2 Frequency in MHz (ZBTRAM)
+OSC3: 50.0 ;OSC3 Frequency in MHz (Not used)
+OSC4: 50.0 ;OSC4 Frequency in MHz (SMB)
+OSC5: 50.0 ;OSC5 Frequency in MHz (Not used)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0192C/board.txt b/SITE2/HBI0192C/board.txt
new file mode 100755
index 0000000..18ec327
--- /dev/null
+++ b/SITE2/HBI0192C/board.txt
@@ -0,0 +1,14 @@
+BOARD: HBI0192
+TITLE: FPGA V2F-1XV5
+
+[APPLICATION NOTE]
+APPNOTE: ANxxx\axxxrxpx.txt ;Please select the required application note
+;APPNOTE: AN224\a224r0p1.txt
+;APPNOTE: AN243\a243r0p0.txt
+
+[DCCS]
+TOTALDCCS: 1 ;Total Number of DCCS (Max:8)
+M0FILE: dbb_v135.ebf ;DCC0 Filename
+M0MODE: MICRO ;DCC0 Programming Mode
+
+
diff --git a/SITE2/HBI0192C/dbb_v135.ebf b/SITE2/HBI0192C/dbb_v135.ebf
new file mode 100755
index 0000000..2b8c926
--- /dev/null
+++ b/SITE2/HBI0192C/dbb_v135.ebf
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f550r0p1.bit b/SITE2/HBI0217B/AN233/f550r0p1.bit
new file mode 100755
index 0000000..c6a6838
--- /dev/null
+++ b/SITE2/HBI0217B/AN233/f550r0p1.bit
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f550r0p1.txt b/SITE2/HBI0217B/AN233/f550r0p1.txt
new file mode 100755
index 0000000..a2de055
--- /dev/null
+++ b/SITE2/HBI0217B/AN233/f550r0p1.txt
@@ -0,0 +1,22 @@
+BOARD: HBI0217
+TITLE: AN233
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: f550r0p1.bit ;FPGA0 Filename
+F0MODE: FPGA_PCM ;FPGA0 Programming Mode
+
+[OSCCLKS]
+TOTALOSCCLKS: 6 ;Total Number of OSCCLKS (Max:8)
+OSC0: 80 ;OSC1 Frequency in MHz (550T ACLK)
+OSC1: 125 ;OSC2 Frequency in MHz (550T MCLK REF)
+OSC2: 23.75 ;OSC3 Frequency in MHz (550T CLCD)
+OSC3: 35 ;OSC4 Frequency in MHz (760 ACLK_LINK & ACLK(ACLK_LINKx2))
+OSC4: 33 ;OSC5 Frequency in MHz (760 AXICLK_EMS)
+OSC5: 24 ;OSC6 Not used
+
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/AN233/f760r0p1.bit b/SITE2/HBI0217B/AN233/f760r0p1.bit
new file mode 100755
index 0000000..3fbe259
--- /dev/null
+++ b/SITE2/HBI0217B/AN233/f760r0p1.bit
Binary files differ
diff --git a/SITE2/HBI0217B/AN233/f760r0p1.txt b/SITE2/HBI0217B/AN233/f760r0p1.txt
new file mode 100755
index 0000000..4f0d6be
--- /dev/null
+++ b/SITE2/HBI0217B/AN233/f760r0p1.txt
@@ -0,0 +1,16 @@
+BOARD: HBI0217
+TITLE: AN233
+
+[FPGAS]
+TOTALFPGAS: 1 ;Total Number of FPGAS (Max:8)
+F0FILE: f760r0p1.bit ;FPGA0 Filename
+F0MODE: FPGA_PCM ;FPGA0 Programming Mode
+
+[VOLTAGES]
+TOTALVOLTAGES: 1 ;Total Number of VOLTAGES to set (Max:4)
+VOLT0: 1.8 ;VIO_UP maximum voltage (0.8 to 2.5)
+
+[SCC REGISTERS]
+TOTALSCCS: 2 ;Total Number of SCC registers defined
+SCC: 0x000 0x01234567 ;SCC gereral read/write regsiter address/value
+SCC: 0x004 0x89ABCDEF ;SCC gereral read/write register address/value
diff --git a/SITE2/HBI0217B/board.txt b/SITE2/HBI0217B/board.txt
new file mode 100755
index 0000000..332f2e7
--- /dev/null
+++ b/SITE2/HBI0217B/board.txt
@@ -0,0 +1,14 @@
+BOARD: HBI0217
+TITLE: V2F 2XV6 FPGA
+
+[APPLICATION NOTE]
+TOTALAPP: 2 ;Total Number of Application notes (Max:8)
+A0FILE: AN233\f550r0p1.txt ;Application note board file for XC6VLX550T
+A1FILE: AN233\f760r0p1.txt ;Application note board file for XC6VLX760
+
+[DCC]
+TOTALDCC: 2 ;Total Number of DCC (Max:8)
+M0FILE: dbb_v112.ebf ;Filename for XC6VLX240T DCC
+M0MODE: MICRO ;Programming Mode
+M1FILE: dbb_v213.ebf ;Filename for XC6VLX760 DCC
+M1MODE: MICRO ;Programming Mode
diff --git a/SITE2/HBI0217B/board_1.txt b/SITE2/HBI0217B/board_1.txt
new file mode 100755
index 0000000..5dc2aaa
--- /dev/null
+++ b/SITE2/HBI0217B/board_1.txt
@@ -0,0 +1,14 @@
+BOARD: HBI0217 stack 1
+TITLE: V2F 2XV6 FPGA
+
+[APPLICATION NOTE]
+TOTALAPP: 2 ;Total Number of Application notes (Max:8)
+A0FILE: AN233\f550r0p1.txt ;Application note board file for XC6VLX550T
+A1FILE: AN233\f760r0p1.txt ;Application note board file for XC6VLX760
+
+[DCC]
+TOTALDCC: 2 ;Total Number of DCC (Max:8)
+M0FILE: dbb_v112.ebf ;Filename for XC6VLX240T DCC
+M0MODE: MICRO ;Programming Mode
+M1FILE: dbb_v213.ebf ;Filename for XC6VLX760 DCC
+M1MODE: MICRO ;Programming Mode
diff --git a/SITE2/HBI0217B/dbb_v112.ebf b/SITE2/HBI0217B/dbb_v112.ebf
new file mode 100755
index 0000000..2dbe31a
--- /dev/null
+++ b/SITE2/HBI0217B/dbb_v112.ebf
Binary files differ
diff --git a/SITE2/HBI0217B/dbb_v213.ebf b/SITE2/HBI0217B/dbb_v213.ebf
new file mode 100755
index 0000000..77862b6
--- /dev/null
+++ b/SITE2/HBI0217B/dbb_v213.ebf
Binary files differ
diff --git a/SITE2/HBI0232A/board.txt b/SITE2/HBI0232A/board.txt
new file mode 100755
index 0000000..a9a0267
--- /dev/null
+++ b/SITE2/HBI0232A/board.txt
@@ -0,0 +1,21 @@
+BOARD: HBI0232
+TITLE: V2C-002 PCIe breakout board
+
+[DCCS]
+TOTALDCCS: 0 ;(1) Total Number of DCCS - Do not change this value
+M0FILE: NONE ;DCC0 Filename
+M0MODE: NONE ;DCC0 Programming Mode
+
+[FPGAS]
+TOTALFPGAS: 0 ;(0) - Do not change this value
+F0FILE: NONE ;FPGA0 Filename
+F0MODE: NONE ;FPGA0 Programming Mode
+
+[TAPS]
+TOTALTAPS: 0 ;(6) - Do not change this value
+
+[OSCCLKS]
+TOTALOSCCLKS: 0 ;Total Number of OSCCLKS (3) - Do not change this value
+
+[SCC REGISTERS]
+TOTALSCCS: 0 ;Total Number of SCC registers defined
diff --git a/SOFTWARE/bm_v404l.axf b/SOFTWARE/bm_v404l.axf
new file mode 100755
index 0000000..48b4de6
--- /dev/null
+++ b/SOFTWARE/bm_v404l.axf
Binary files differ
diff --git a/SOFTWARE/bm_v404r.axf b/SOFTWARE/bm_v404r.axf
new file mode 100755
index 0000000..e6e8cd4
--- /dev/null
+++ b/SOFTWARE/bm_v404r.axf
Binary files differ
diff --git a/SOFTWARE/booscr_l.txt b/SOFTWARE/booscr_l.txt
new file mode 100755
index 0000000..8f8aae3
--- /dev/null
+++ b/SOFTWARE/booscr_l.txt
@@ -0,0 +1 @@
+fl linux kernel_l root=/dev/sda1 ro console=ttyAMA0 mem=1024M ip=dhcp clcd=xvga rootwait
diff --git a/SOFTWARE/booscr_r.txt b/SOFTWARE/booscr_r.txt
new file mode 100755
index 0000000..4ee201d
--- /dev/null
+++ b/SOFTWARE/booscr_r.txt
@@ -0,0 +1 @@
+fl linux kernel_r root=/dev/sda1 ro console=ttyAMA0 mem=1024M ip=dhcp rootwait
diff --git a/SOFTWARE/deb_i_l.bin b/SOFTWARE/deb_i_l.bin
new file mode 100755
index 0000000..25e7435
--- /dev/null
+++ b/SOFTWARE/deb_i_l.bin
Binary files differ
diff --git a/SOFTWARE/deb_i_r.bin b/SOFTWARE/deb_i_r.bin
new file mode 100755
index 0000000..34ab587
--- /dev/null
+++ b/SOFTWARE/deb_i_r.bin
Binary files differ
diff --git a/SOFTWARE/kernel_l.bin b/SOFTWARE/kernel_l.bin
new file mode 100755
index 0000000..2a20c03
--- /dev/null
+++ b/SOFTWARE/kernel_l.bin
Binary files differ
diff --git a/SOFTWARE/kernel_r.bin b/SOFTWARE/kernel_r.bin
new file mode 100755
index 0000000..19b445e
--- /dev/null
+++ b/SOFTWARE/kernel_r.bin
Binary files differ
diff --git a/config.txt b/config.txt
new file mode 100755
index 0000000..74728af
--- /dev/null
+++ b/config.txt
@@ -0,0 +1,29 @@
+TITLE: Versatile Express Configuration File
+
+[CONFIGURATION]
+AUTORUN: FALSE ;Auto Run from power on
+TESTMENU: FALSE ;MB Peripheral Test Menu
+
+UPDATE: FALSE ;Force JTAG and FPGA update to DBs
+VERIFY: FALSE ;Force FPGA verify to DBs
+
+SLIDESHOW: FALSE ;CLCD Slideshow
+MUXFPGA: MB ;MB or DB1 or DB2
+DVIMODE: VGA ;VGA or SVGA or XGA or SXGA or UXGA
+
+MBLOG: TRUE ;LOG MB MICRO TO UART1 in run mode
+DBLOG: TRUE ;LOG DB MICRO TO UART2/3 in run mode
+
+USERSWITCH: 00000000 ;UserSwitch[7:0] in binary
+CONFSWITCH: 00000000 ;Configuration Switch[7:0] in binary
+ASSERTNPOR: TRUE ;External resets assert nPOR
+WDTRESET: RESETMB ;Watchdog reset options NONE/RESETMB/RESETDB
+
+PCIMASTER: DB1 ;Port Failover DB1/DB2/NONE
+MASTERSITE: DB1 ;Boot Master DB1/DB2
+MEMORYMAP: LEGACY ;Select Memory map LEGACY/AS1
+USB_REMOTE: FALSE ;Selects remote command via USB
+
+MACADDRESS: 0xFFFFFFFFFFFF ;MAC Address
+
+