aboutsummaryrefslogtreecommitdiff
path: root/drivers/staging/lirc/lirc_parallel.c
diff options
context:
space:
mode:
Diffstat (limited to 'drivers/staging/lirc/lirc_parallel.c')
-rw-r--r--drivers/staging/lirc/lirc_parallel.c1
1 files changed, 0 insertions, 1 deletions
diff --git a/drivers/staging/lirc/lirc_parallel.c b/drivers/staging/lirc/lirc_parallel.c
index 6da4a8c6ebc..0c831f5858b 100644
--- a/drivers/staging/lirc/lirc_parallel.c
+++ b/drivers/staging/lirc/lirc_parallel.c
@@ -40,7 +40,6 @@
#include <linux/delay.h>
#include <linux/io.h>
-#include <linux/signal.h>
#include <linux/irq.h>
#include <linux/uaccess.h>
#include <asm/div64.h>